首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 500 毫秒
1.
Aluminum (Al) and its alloy films are widely used for fabricating VLSI interconnections. The discharge behavior of a magnetically enhanced reactive ion etching (MERIE) of Al(Si) has been modeled using neural networks. A 26-1 fractional factorial experiment was employed to characterize etch variations with RF power, pressure, magnetic field and gas mixtures of Cl2, BCl3, and N2. Responses of an Al(Si) film etched in a chlorine-based plasma include etch rate, selectivity to oxide, anisotropy and bias of critical dimension (CD). The generalization accuracy of the models, measured by the root-mean squared error (RMS) on a test set, are 285 Å/min for etch rate, 5.58 for oxide selectivity, 0.08 for anisotropy, and 3.82 Å/min for CD bias. Al(Si) etch rate was found to be chlorine-dependent with significantly affected by magnetic field variations. For the other etch responses, RF power was dominant. Gas additives such as BCl3 and N2 were seen to have conflicting effects on etch outputs. Predicted Al(Si) etch behaviors from neural process models were in qualitative good agreement with reported experimental results  相似文献   

2.
The plug loading effect occurring during the etchback of tungsten was investigated in a magnetically enhanced reactive ion etcher using SF 6/Ar mixtures. It was found that while the plug loading effect is independent of varying SF6/Ar flow rate ratio and magnetic field intensity, it is reduced under the condition of high selectivity of tungsten relative to TiN which was achieved at high chamber pressure and low RF power. It is proposed that when TiN is used as a glue layer, the W etch rate enhancement in the plug is mainly controlled by a local loading effect. Under the optimized etchback conditions the plug loss was successfully controlled without the tungsten residue left on severe topology  相似文献   

3.
This paper presents a novel technique for monitoring film thickness in reactive ion etching by incorporating a micromachined sensor. The prototype sensor correlates film thickness with the change in resonant frequency that occurs in a micromachined platform during etching. The platform is suspended over a drive electrode on the surface of the substrate and electrically excited into resonance. As material is etched from the platform, its resonant vibrational frequency shifts by an amount proportional to the amount of material etched, allowing etch rate to be inferred. As a proof-of-concept experiment, a platform made of DuPont 2611 polyimide has been fabricated. The sensor is driven into resonance electrostatically, and the shift in resonance is detected by monitoring the change in impedance between the drive electrode and platform as the drive frequency is swept. To enhance filtering of the sensor signal in the noisy plasma environment, the platform is designed so that the ratio of the plasma frequency to the fundamental mode of vibration is approximately 400:1. The prototype was etched in a Plasma Therm 700 series reactive ion etching (RIE) system in a CHF3/O2 plasma. Electrical contact was made with the sensor using a feedthrough attached to the vacuum line beneath the process chamber to facilitate in situ excitation and measurement. The sensor is shown to offer high resolution (approximately 1300 Hz/um), potentially permitting accurate in situ monitoring of etch rate and uniformity at a nominal cost  相似文献   

4.
The techniques of experimental design and response-surface methodology have been used to produce empirical models of the deposition and etchback of tungsten in commercially available reactors for a tungsten plug technology. Deposition was carried out in a Genus 8402 LPCVD (low-pressure chemical vapor deposition) batch reactor by the H 2 reduction of WF6. Response-surfaces for deposition rate, sheet resistance uniformity, resistivity, and film stress were developed as a function of reactor pressure, reactor temperature, and flow rate of WF6 at a fixed H2 flow rate using linear-interactive models. A thin layer of TiN was used to ensure adhesion of tungsten to SiO2. Etchback of the composite layer of W/TiN to form via plugs was performed in a Tegal 804 single-wafer system with a two-step process using mixtures of SF6 with C2F6 and He with Cl2 in step 1 and step 2, respectively. Process parameters for both steps were obtained from quadratic models of etch rate and etch uniformity  相似文献   

5.
Strong chemical reactions between metal and polymer substrates significantly enhance adhesion of the metal to the polymer. This study investigated the adhesion of three types of thin film metals, including Cu, NiCr, and Cr, to a fully epoxy-based polymer. Before depositing these thin film metals, the epoxy surface was treated with either an Ar or O2 plasma etch. It was found that NiCr and Cr produced higher peel strengths than Cu, but NiCr and Cr did not produce different peel strengths than each other. It was also found that O2 plasma etch produced significantly higher peel strengths than Ar plasma etch for Cu and Cr, but not for NiCr. An XPS (X-ray photoelectron spectroscopy) study was performed to investigate the reactivities and possible chemical adhesion mechanisms of the metal thin films with the epoxy. It was determined that Cr reacted more strongly than Ni in forming metal oxide at the metal-epoxy interface. Cu was not seen to react strongly in forming oxide with the epoxy. Thermodynamic information supported the relative amounts of oxides found by XPS. Thermodynamic information also suggested that O2 plasma etch did not produce significantly higher adhesion than Ar plasma etch on the NiCr samples due to the large Ni component of the NiCr thin film. An AFM (atomic force microscopy) study was performed to investigate possible mechanical adhesion mechanisms. Implications of the AFM results were that the main adhesion mechanism for all samples was chemical and that the Cu oxide that was available on the Cu samples was beyond the detection limits of the XPS equipment  相似文献   

6.
A process to planarize low-pressure chemical-vapor deposition (LPCVD) SiO2 films formed over the abrupt topography of fine-line (2.0-μm pitch) integrated circuits with two levels of metallization and pillar interconnections has been developed with sacrificial photoresist and plasma etching using response-surface methodology. To produce flat dielectric surfaces with this topography, the ratio of the measured etch rate of photoresist to that of phosphorus-doped SiO2 must be maintained at ~0.4 (3800 and 9100 Å/min, respectively) with an Ar/CF4/O2 high pressure plasma generated in a low radio-frequency etching system  相似文献   

7.
Plasma etching of epitaxial CoSi2 films with a ternary Co–Ti–Si top layer formed during solid phase reaction of Co/Ti bilayers on Si(100) was investigated. By using a pure argon-RF-plasma the ternary top layer was sputtered without formation of a disturbing overlayer. The main disadvantage of this process is the formation of a crater-like surface morphology connected with a strong increase of the surface roughness. Etching the ternary top layer by a reactive process (CF4/Ar) leads to a smoother surface, but a Co–fluoride film was grown on top of the silicide surface. In a following argon etch process this disturbing overlayer can be removed completely, simultaneously the roughness of the etched silicide surface is reduced considerably.  相似文献   

8.
A two-stage plasma etch texturination process to control the level of crystalline silicon surface roughness has been investigated. Initially, a Cl2 plasma etch is used to produce a very rough Si surface. This is followed by an isotropic SF6 plasma etch, whose etch time is used to reduce and control the level of surface roughness created by the previous step. Oxides grown on texturized Si surfaces with short SF6 etch times exhibit lower effective SiO2/Si barrier height and greater electron injection enhancement than those with longer SF6 etch times  相似文献   

9.
High-density plasma etching has been an effective patterning technique for the group-III nitrides due to ion fluxes which are 2–4 orders of magnitude higher than more conventional reactive ion etch (RIE) systems. GaN etch rates exceeding 0.68 μm/min have been reported in Cl2/H2/Ar inductively coupled plasmas (ICP) at −280 V dc-bias. Under these conditions, the etch mechanism is dominated by ion bombardment energies which can induce damage and minimize etch selectivity. High selectivity etch processes are often necessary for heterostructure devices which are becoming more prominent as growth techniques improve. In this study, we will report high-density ICP etch rates and selectivities for GaN, AlN, and InN as a function of plasma chemistry, cathode rf-power, ICP-source power, and chamber pressure. GaN:AlN selectivities >8:1 were observed in a Cl2/Ar plasma at 10 mTorr pressure, 500 W ICP-source power, and 130 W cathode rf-power, while the GaN:InN selectivity was optimized at 6.5:1 at 5 mTorr, 500 W ICP-source power, and 130 W cathode rf-power.  相似文献   

10.
李永亮  徐秋霞 《半导体学报》2011,32(7):076001-5
研究了先进CMOS器件中poly-Si/TaN/HfSiON栅结构的干法刻蚀工艺。对于poly-Si/TaN/HfSiON栅结构的刻蚀,我们采用的策略是对栅叠层中的每一层都进行高选择比地、陡直地刻蚀。首先,对于栅结构中poly-Si的刻蚀,开发了一种三步的等离子体刻蚀工艺,不仅得到了陡直的poly-Si刻蚀剖面而且该刻蚀可以可靠地停止在TaN金属栅上。然后,为了得到陡直的TaN刻蚀剖面,研究了多种BCl3基刻蚀气体对TaN金属栅的刻蚀,发现BCl3/Cl2/O2/Ar等离子体是合适的选择。而且,考虑到Cl2对Si衬底几乎没有选择比,采用优化的BCl3/Cl2/O2/Ar等离子体陡直地刻蚀掉TaN金属栅以后,我们采用BCl3/Ar等离子体刻蚀HfSiON高K介质,改善对Si衬底的选择比。最后,采用这些新的刻蚀工艺,成功地实现了poly-Si/TaN/HfSiON栅结构的刻蚀,该刻蚀不仅得到了陡直的刻蚀剖面且对Si衬底几乎没有损失。  相似文献   

11.
Dry etching of multilayer magnetic thin film materials is necessary for the development of sensitive magnetic field sensors and memory devices. The use of high ion density electron cyclotron resonance (ECR) plasma etching for NiFe, NiFeCo, TaN, and CrSi in SF6/Ar, CH4/H2/Ar, and Cl2/Ar plasmas was investigated as a function of microwave source power, rf chuck power, and process pressure. All of the plasma chemistries are found to provide some enhancement in etch rates relative to pure Ar ion milling, while Cl2/Ar provided the fastest etch rate for all four materials. Typical etch rates of 3000Å/min were found at high microwave source power. Etch rates of these metals were found to increase with rf chuck power and microwave source power, but to decrease with increasing pressure in SF6/Ar, CH4/H2/Ar, and Cl2/Ar. A significant issue with Cl2/Ar is that it produces significant metal-chlorine surface residues that lead to post-etch corrosion problems in NiFe and NiFeCo. However, the concentration of these residues may be significantly reduced by in-situ H2 or O2 plasma cleaning prior to removal of the samples from the etch reactor.  相似文献   

12.
A new gas circulation RIE has been developed. It pumps the exhausted gas still containing usable process gas into the RIE process chamber to be reused. This new gas circulation RIE showed performances of etch rate, selectivity, etching profile, and uniformity in C4 F8/CO/Ar SiO2 etching process comparable to those for the conventional process with 50% less C4F8 and 80% less CO and Ar of the original input gas flow rates. It also decreased PFC emission by two thirds less in CO2 conversion. This new gas circulation RIE is effective for the suppression of the greenhouse effect and etching process cost  相似文献   

13.
Cl2-Based inductively coupled plasmas with low additional d.c. self-biases (−100 V) produce convenient etch rates (500–1500 Å·min−1) for GaN, AlN, InN, InAlN and InGaN. A systematic study of the effects of additive gas (Ar, N2, H2), discharge composition and ICP source power and chuck power on etch rate and surface morphology has been performed. The general trends are to go through a maximum in etch rate with percent Cl2 in the discharge for all three mixtures and to have an increase (decrease) in etch rate with source power (pressure). Since the etching is strongly ion-assisted, anisotropic pattern transfer is readily achieved. Maximum etch selectivities of approximately 6 for InN over the other nitrides were obtained.  相似文献   

14.
A plasma etching process for patterning LPCVD (low-pressure chemical vapor deposition) Si3N4 which has been formed on thin thermally grown SiO2 has been developed and characterized with an Applied Materials 8110 batch system using 100-mm-diameter silicon wafers. To fulfill the primary process objectives of minimal critical dimension (CD) loss (~0.08 μm), vertical profiles after etch, retention of some of the underlying thermal SiO2, and batch etch uniformity, the reactor has been characterized by evaluating the effects of variation of reactor pressure (15 to 65 mTorr), O2 concentration by flow rate (30 to 70%) of an O2/CHF2 mixture, and DC bias voltage (-200 to -550 V). Analysis of the resulting etch rate, etch uniformity, dimensional, and profile data suggests that satisfactory processing may be achieved at low reactor pressure (~25 mTorr), 50-60% O2 by flow rate in O2/CHF3, and low DC bias (-200 to -250 V)  相似文献   

15.
ICP etching of SiC   总被引:1,自引:0,他引:1  
A number of different plasma chemistries, including NF3/O2, SF6/O2, SF6/Ar, ICl, IBr, Cl2/Ar, BCl3/Ar and CH4/H2/Ar, have been investigated for dry etching of 6H and 3C–SiC in an inductively coupled plasma tool. Rates above 2000 Å cm−1 are found with fluorine-based chemistries at high ion currents. Surprisingly, Cl2-based etching does not provide high rates, even though the potential etch products (SiCl4 and CCl4) are volatile. Photoresist masks have poor selectivity over SiC in F2-based plasmas under normal conditions, and ITO or Ni is preferred.  相似文献   

16.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

17.
氮化硅的ECCP刻蚀特性研究   总被引:1,自引:1,他引:0       下载免费PDF全文
本文对氮化硅的增强电容耦合等离子刻蚀进行研究,为氮化硅刻蚀工艺的优化提供参考。针对SF_6+O_2气体体系,通过设计实验考察了功率、压强、气体比、氦气等对刻蚀速率和均一性的影响,并对结果进行机理分析和讨论。实验结果表明:功率越大,刻蚀速率越大,与源极射频电力相比,偏置射频电力对刻蚀速率的影响更为显著;压强增大,刻蚀速率增大,但压强增大到一定程度后,刻蚀速率基本不变,刻蚀均匀性随着压强增大而变差;在保证SF_6/O_2总流量保持不变下,O_2的比例增大,刻蚀速率先增大后减小,刻蚀均匀性逐步变好;He的添加可以改善刻蚀均匀性,但He的添加量过多时,会造成刻蚀速率降低。  相似文献   

18.
This study examined the plasma etching characteristics of ZnO thin films etched in BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas with a positive photoresist mask. The ZnO etch rates were increased in a limited way by increasing the gas flow ratio of the main etch gases in the BCl3/Ar, BCl3/Cl2/Ar and Cl2/Ar plasmas at a fixed dc self-bias voltage (Vdc). However, the ZnO etch rate was increased more effectively by increasing the Vdc. Optical emission spectroscopy (OES) and X-ray photoelectron spectroscopy (XPS) analyses of the ZnO surfaces etched at various Cl2/(Cl2 + Ar) mixing ratios revealed the formation of the ZnOxCly reaction by-products as a result of the increased etch rate with increasing Cl2 addition, compared with 100% Ar+ sputter etching. This suggests that at Cl2/Ar flow ratios ⩾20%, the ZnO etch process is controlled by an ion-assisted removal mechanism where the etch rate is governed by the ion-bombardment energy under the saturated chlorination conditions.  相似文献   

19.
A dual RF excited discharge is described. The dual RF excitation system provides a method to control the substrate self-bias without affecting the state of the discharge. The substrate can be RF-biased utilizing an appropriate excitation frequency and power significantly less than the plasma generating RF power. The substrate self-bias dependence on various system parameters, including substrate excitation frequency, pressure, plasma generating upper electrode RF power, substrate material, and process gas compositions, is described. For a simplified model, a linear relationship between self-bias and RF power is derived using the space-charge limited assumption. The effect of substrate bias on the thermal-oxide etch rate has been studied. The results show good correlation between the ion bombardment energy, i.e., the potential difference across the substrate dark space, and the SiO 2 etch rate. The SiO2 etch rate in a CF4 plasma increases linearly with the ion bombardment energy, having a threshold etch energy of ~19 V  相似文献   

20.
研究了用来制作InP微细结构的反应离子腐蚀(RIE)技术,采用PLASMALabμPModular反应离子腐蚀系统,在CH4/H2/Ar环境中,研究了InP的腐蚀速率、表面形貌、剩余损伤层等随反应气体组分、压力等的变化。发现速率随CH4/H2比值增大而增大,随工作压强的增大而减小。测得的腐蚀速率很慢:从4nm/min到16nm/min,腐蚀图形的方向性好,因而特别适合制作尺寸为微米级的InP微细结构,在CH4/H2=0.185时,腐蚀后的表面光亮,腐蚀速率为14.5nm/min,剩余损伤层的厚度约为15~30nm。与参考文献报导不同处是:在腐蚀后较为粗糙的表面上,并不总是富In,有时是富P。由此提出了平衡腐蚀的概念。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号