首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
大面阵CCD图像传感器驱动采集系统设计   总被引:2,自引:0,他引:2  
马骏  黄勇  孙力  梁志毅 《电子测量技术》2007,30(12):158-160
本文设计了一种大面阵CCD图像传感器驱动采集电路系统.该CCD驱动系统作为航空数码相机的关键组件,直接关系到航空数码相机成像的质量.应用过程中的防静电问题,则关系到CCD器件自身的安全问题.介绍了利用CCD专用外围芯片组,包括CCD驱动芯片TDA9991HL,CCD时序控制芯片SAA8103,CCD输出信号模数转换芯片AD9824,CCD驱动系统的控制芯片C8051F330,来完成CCD外围电路的设计,并详细讨论了利用该芯片组设计大面阵CCD图像传感器外围电路时,要注意的一些问题.该电路设计结构简单,可靠,集成度高.  相似文献   

2.
面阵CCD相机需要增加光学镜头并在时序控制电路驱动下才能输出图像,给图像采集、存储等图像处理实验带来不便。在分析面阵CCD(M22)的特性和时序的基础上,设计了一种基于现场可编程门阵列FPGA的大面阵CCD模拟器,把一幅标准图像预先存储放在FLASH中,上电初始化后,再把该图像从FLASH拷贝到SDRAM中,根据相应的指令,在时钟的下降沿把SDRAM中图像信息按照Camera link协议输出,并对像素时钟进行计数,产生行同步信号。实验结果表明,面阵CCD模拟器符合M22相机的输出时序要求。模拟器的设计与实现为图像采集和存储等实验提供了支持和保证,缩短了工程上的调试时间。  相似文献   

3.
采用复杂可编程逻辑器件(CPLD)作为控制单元,设计一款驱动电路以产生线阵CCD需要的驱动信号。利用硬件描述语言(HDL)进行CPLD功能模块以及逻辑单元的设计,不仅发挥了CPLD"可编程"的特点,而且为用户提供较多的信号接口,具有较强的灵活性和稳定性,提高了CCD驱动脉冲的准确性。在介绍驱动电路工作原理的基础上,给出了驱动脉冲时序的设计方法,并通过电路测试数据验证该电路的有效性。实验结果表明:该驱动电路输出的驱动脉冲,完全满足线阵CCD的需要,整个驱动电路工作比较稳定,其输出信号具有严格的时序关系。该驱动电路可以集成到无接触测量系统中,用来产生线阵CCD所需的驱动脉冲,并且有较高的精度。  相似文献   

4.
基于PCI高速图像采集卡的设计   总被引:1,自引:1,他引:0  
设计了一种其于PCI高分辨率线阵CCD图像采集系统,它由光学镜头、硬件电路与机械传送装置3部分组成,其中CPI.D是硬件电路中的核心,主要实现CCD驱动、专用A/D转换时序、FIFO读写控制、以及步进机驱动控制信号,采用PCI接口实现与上位机通信。实验结果表明,系统设计符合设计要求,并且可广泛用于相关检测领域。  相似文献   

5.
实时传输型遥感相机在航天中得到越来越广泛的应用。CCD驱动信号直接影响到遥感相机的最终成像质量,遥感CCD相机时序脉冲发生系统的焦平面程序是产生CCD驱动信号的关键程序,因此,通过仿真验证确保其成像质量和技术指标具有重要的意义。对相机成像电路中焦面电路的FPGA软件验证进行了研究总结,同时给出了规范、高效的工序流程。研究结果表明,本文提出的工序流程可以有效避免工程中出现的CCD驱动信号电平不稳、幅值不够、质量不达标等问题,而且,只要焦面时序系统在仿真中满足所列出的各项时序要求,就可以覆盖到CCD时序的各项功能。  相似文献   

6.
为提高线阵CCD测量系统的测量精度和抗干扰性能同时保证系统信号处理速度,总结并试验了几种常见的CCD信号处理方案,并提出对应优化设计。阐述了线阵CCD传感器、CCD驱动电路的工作原理,着重分析研究了CCD测量系统中的信号采样和处理过程。详述了CCD输出电压特性,分压电路、滞回比较电路工作原理和MCU采样时序。试验结果表明,相比传统使用单比较器的方案,使用多滞回比较器的设计系统精度得到大幅提升,同时抗干扰性能也得到更好的保证。该设计已应用到印刷行业的纠偏控制器中。  相似文献   

7.
针对行间转移型面阵电耦合器件(CCD)ICX415AL,分析其驱动时序要求和工作原理,以现场可编程门阵列(FPGA)芯片EP4CE10F17C8为主控芯片,QuartusⅡ软件为开发平台,选择Verilog HDL语言设计各驱动时序信号。结合反相器和驱动芯片构建CCD外围驱动电路,利用Modelsim SE仿真软件进行联合仿真测试,得到了正确的时序波形输出,将FPGA产生的驱动时序信号接入CCD驱动电路,通过示波器观察CCD在不同条件下输出相对应的视频信号。实验结果表明,所设计的驱动电路运行稳定,满足ICX415AL各项驱动要求。  相似文献   

8.
动态CCD星敏感器驱动成像系统设计与实现   总被引:2,自引:2,他引:0  
以DALSA公司的CCD图像传感器FTT1010M作为敏感元件,设计并实现了一种基于FPGA的动态CCD星敏感器驱动成像系统,详细介绍了FTT1010M的特性与工作时序、星敏感器成像系统的时序电路、模拟驱动电路、电源转换电路、预处理电路以及分步测试过程。实验结果表明,设计的驱动电路可满足CCD驱动要求,系统可通过上位机调节曝光时间和ADC增益。系统通过多星模拟器成像,在短曝光时间内可获得清晰的模拟星图,为实现动态CCD星敏感器奠定了基础。  相似文献   

9.
分析行间转移型面阵电荷耦合器件(CCD)ICX055AL的工作原理和驱动时序,以现场可编程门阵列(FPGA)为硬件设计载体,采用Verilog硬件描述语言(HDL)设计CCD驱动时序,结合CCD时钟驱动芯片CXD1267AN和2片74HC04构建出CCD驱动电路。通过QuartusⅡ软件对其进行仿真分析,并对芯片EP2C5Q208C8进行配置。结果表明,所设计的驱动电路可以满足ICX055AL的各项性能要求,能够产生准确的脉冲信号驱动ICX055AL工作。  相似文献   

10.
根据线阵CCD驱动时序的特点,给出一种线阵CCD驱动电路的设计方法。采用具有增强型内核的单片机产生CCD所需的驱动波形,能充分发挥单片机可编程的特点, 为用户提供丰富的驱动信号接口,并实现了电子快门功能。首先介绍CCD驱动模块的基本工作原理、主要特点和驱动时序的设计思路,接着完成驱动模块软硬件的设计,最后通过大量实验验证该驱动模块的有效性。实验结果表明: 该驱动模块所产生的驱动信号满足CCD的需要,当该驱动模块集成到其他无接触测量系统中时, 该测量系统能正常稳定工作,测量结果准确,精度达到了μm级。  相似文献   

11.
线阵CCD图像采集与电气传动的数字同步控制   总被引:1,自引:0,他引:1  
介绍了线阵CCD驱动时序、传感特性和基于DSP的线阵CCD驱动电路。给出了线阵CCD时序驱动与电气传动的同步控制方案。分析了线阵CCD图像采集与电气传动的数字同步控制的工作原理及其数字同步控制的实现方法。PLC根据触摸屏设定的速度,给出线阵CCD的驱动频率及其DSP的定时常数。同时,PLC给出伺服脉冲频率,经伺服系统实现对传动机构的速度控制。使线阵CCD的图像采集速度与平面运动物体的速度保持精确同步。  相似文献   

12.
阐述了采用在系统可编程(ISP)技术,设计步进电机通用型控制器的方法.用ISP器件1032E和少量外围器件构成通用脉冲环形分配器,硬件结构简单、适合范围广、调试方便.驱动电路采用恒流斩流技术,VM0S管驱动电路采用浮置驱动器件IR2110.实际运行结果表明该系统可行.  相似文献   

13.
针对电荷耦合器件CCD在进行图像扫描时需要稳定的外部驱动电路支持才能工作,本文介绍了利用Verilog HDL(硬件描述语言)编写TCD1501D型号线阵CCD驱动时序的实现方法,并对工作时序做了分析,还详细介绍了用Verilog HDL完成驱动时序的源代码,最后利用Modelsim进行仿真验证。  相似文献   

14.
针对Kodak的RGB三色线阵CCD-KLI14403设计一款基于CPLD的高分辨率线阵CCD实时数据采集系统.系统利用Verilog HDL进行程序设计实现CPLD对各个功能模块和逻辑单元的时序控制,设计采用线阵CCD作为系统图像传感器,以图像专用A/D处理芯片对CCD的输出信号进行噪声处理和模数转换,最后通过USB2.0接口实现上位机与下位机之间控制指令和采集数据的实时传输.这种设计方法不仅降低了对系统各模块之间的协调控制难度,而且具有驱动时序精确、抗干扰性能良好、输出信号稳定等特点.实验结果表明,该设计系统可以有效地完成图像数据的采集和传输,达到了预期效果,且设计灵活,系统性能较好,具有一定的通用性和科研价值.  相似文献   

15.
一种基于FPGA的CCD图像传感器驱动系统设计   总被引:1,自引:1,他引:0  
针对CCD图像传感器ICX274驱动信号产生中已有驱动电路设计的某些不足,提出一种基于时序生成芯片CXD3609R的新方案,并设计了基于FPGA嵌入式平台的CXD3609R电路,以此实现对ICX274驱动信号的产生。实验结果表明,CXD3609R能够产生满足ICX274所需的时序驱动,验证了本设计系统的可行性,这为基于ICX274的成像系统的设计提供了一种新思路,并为后续的成像系统研究设计奠定了基础。  相似文献   

16.
为了解决TDI CCD相机无法利用面阵图像来测量光学系统畸变的问题,提出了一种TDI CCD的面阵工作模式。首先,介绍了TDI CCD的工作原理,分析了TDI CCD工作于面阵模式的条件,然后设计了TDI CCD面阵工作模式的电荷转移时序,阐述了TDI CCD工作在面阵模式时行周期和积分时间的设置方法,并在FPGA内实现了TDI CCD面阵工作模式时序。最后,以积分球作为光源,利用TDI CCD相机成像系统实现了TDI CCD相机的面阵模式成像,并获得了TDI CCD相机的清晰面阵图像。理论分析与实验结果均表明,该方法能获得TDI CCD相机的面阵图像,可为光学系统畸变测量提供必备条件。  相似文献   

17.
为满足CCD成像电路集成化、小型化的发展需求,设计了一种高性能的新型成像电路系统,采用FPGA作为成像系统的控制核心,产生A/D转换器的配置驱动信号实现模数转换,对图像数据进行编码合成转换成数传格式输出成像,通过遥测遥控三线接口实现成像系统与外部的通讯,用以接收星上辅助数据及成像参数调整指令等。实验结果表明,设计的成像电路软件能够满足卫星相机视频处理功能的测试需求,成像效果清晰,简化了硬件电路的同时提高了软件集成度,具有很高的工程应用价值。  相似文献   

18.
薛寒光  朱衡君 《电气时代》2005,(11):124-125
基于FPGA来设计产生线阵CCDTCD1208AP芯片复杂驱动电路和整个CCD的电子系统控制逻辑时序,根据工程实现结果表明:该驱动电路结构简单,功耗小,成本低,抗干扰能力强,适应与于工程小型化的要求。  相似文献   

19.
基于ISP技术步进电机控制器研制   总被引:1,自引:0,他引:1  
本文介绍一种采用系统可编程技术ISP基础上设计步进电机控制器的方法,用ISP器件1032E及少量外围器件构成环形分配器,MOS管驱动电路采用浮置驱动器件IR2110,驱动电路采用恒流斩波技术。实践证明,该控制器具有硬件结构简单,调试方便等特点。  相似文献   

20.
基于FPGA的线阵CCD高速非接触检测系统的研究   总被引:1,自引:0,他引:1  
本文旨在设计一个基于FPGA的线阵CCD高速非接触在线检测系统。通过选用线阵CCD器件作为感光传感器来采集光信号;采用FPGA来产生并控制系统时序,包括CCD的驱动时序、模数转换时序及ADSP采集数据同步时序;结合DSP高速图像处理性能,采用高效的浮动阈值二值化算法对采集到的数字图像信号进行处理;通过对光学系统的定标最终给出了实验待测圆柱体的直径。实验结果表明,该系统相对传统的测量系统具有高速的优点。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号