首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 129 毫秒
1.
《高压电器》2015,(1):36-40
基于RT-LAB实时仿真平台,研究了实时仿真技术在基于模块化多电平换流器(MMC)的柔性直流输电系统(HVDC)中的应用。建立了基于MMC的柔性直流输电系统的实时仿真模型,并将RT-LAB的实时仿真结果与PSCAD/EMTDC的离线仿真结果进行了对比验证。两种仿真结果在暂态和稳态下都比较一致,这说明通过RT-LAB可以得到MMC高压直流输电系统的正确仿真结果。还对两种仿真方法的仿真时间进行了对比,说明通过RT-LAB的实时仿真可以大幅度提高对MMC换流器的仿真效率。研究结果为采用RT-LAB平台对柔性直流输电系统等大规模电力电子装置实时仿真研究应用提供了参考。  相似文献   

2.
为深入研究大规模新能源基地经特高压直流向负荷中心远距离大容量送电的可行性及遇到的技术问题,一个有效的仿真平台是必不可少的。文章结合数字实时仿真及物理仿真各自的优势,以电磁暂态数字实时仿真系统HYPERSIM为研究平台,用直流输电物理仿真装置模拟外送风电的特高压直流输电系统,利用双向功率连接数模混合仿真技术模拟功率在数字和物理模型之间的交换,建立了大规模风电经直流外送的数模混合仿真系统。通过暂稳态仿真试验,对该仿真系统的动态响应特性进行了验证,解决了在模拟大规模风电接入电网的同时,精确模拟外送风电的直流输电系统动态响应特性的技术难题,为大规模风电接入电网及直流输电对大规模风电的适应性、交直流协调控制等研究提供了必要的手段。  相似文献   

3.
随着直流输电系统的规模、容量和对电网运行的影响与日剧增,直流输电系统复杂的控制保护特性越来越受到运行分析的关注。对复杂直流输电控制保护系统与ADPSS电磁暂态仿真平台的融合仿真技术进行研究,提出了静态链接和动态链接两种融合仿真方法;并研究了各种仿真方法下的直流控制保护系统的融合接口设计;最后建立了复杂直流控制保护系统与ADPSS融合仿真系统,该系统充分保留了直流输电系统控制保护特性,为研究各种故障下的直流输电的运行状态提供了强大的技术支撑。  相似文献   

4.
基于模块化多电平换流器(modular multilevel converter,MMC)的柔性直流输电技术已经展现出广阔的应用前景,精确高效的MMC仿真技术是进行MMC-HVDC研究的基础。文中对当前MMC物理仿真、实时数字仿真以及物理–数字混合仿真3种仿真技术涉及到的相关技术和方法分别进行阐述讨论。对柔性直流控制保护系统测试控制硬件在环和功率硬件在环2种方式进行论述,分析了各自的技术优势及不足。最后,通过归纳现有技术,对未来大规模多节点柔直控制保护仿真测试技术的发展给出几点建议。  相似文献   

5.
为了研究现有计量器具对柔性直流输电系统能效计量的适应性,文中利用RTDS系统,建立了基于模块化多电平换流器(MMC)的双端柔性直流输电实时数字仿真系统,在此基础上进行柔性直流输电系统的谐波分析和能耗分析。将不同型号电表按规定接线方式与RTDS仿真系统连接,研究不同类型的电表在柔性直流输电系统计量中的适应性。仿真和实验结果比较说明:柔性直流输电系统中主要的能耗来源为联结变压器和换流器,且现有的计量装置适用于该系统。  相似文献   

6.
未来柔性直流输电技术大容量、高电压、远距离的发展趋势,对现有的柔性直流仿真建模技术提出了更高的要求。为了建立更符合控制保护装置测试需求的大容量、高电压、远距离柔性直流实时仿真模型,提出了适用于各种子模块拓扑结构且能灵活定义模块级故障的模块化多电平换流器(MMC)等效建模方法,采用基于现场可编程门阵列(FPGA)技术实现灵活柔性直流换流器算法,通过双向高速通信板卡GTFPGA在实时数字仿真器(RTDS)中实现灵活自定义的柔性直流MMC仿真建模,为未来含新型子模块拓扑结构的大容量、高电压、远距离柔性直流工程的控制保护特性研究及其闭环试验,提供有效的实时仿真试验系统和重要的仿真技术支持。  相似文献   

7.
介绍了基于HCM3000直流控制保护平台的新型仿真系统的整体构建,阐述了实时数字仿真器RTLAB的应用和HCM3000直流控制保护平台多种设备的配置。详细论述了仿真系统中直流控制保护系统的优化方法、与实时数字仿真器RTLAB互联的接口设计、仿真系统设备间互联的实现方式。利用工程师工作站采集实时数据对仿真系统进行监控,从而建成了较常规仿真系统结构简单且经济实用的直流输电仿真系统。最后通过在基于实际工程构建的仿真系统上进行试验验证,表明基于HCM3000直流控制保护平台的新型仿真系统能够准确地反映实际的电力系统暂态物理过程,可有效用于常规和柔性直流控制保护策略研究和优化、现场事故分析和决策。  相似文献   

8.
柔性直流输电系统拓扑结构研究综述   总被引:27,自引:2,他引:25       下载免费PDF全文
柔性直流输电系统的拓扑结构是其关键技术之一,对整个工程的性能和成本影响巨大。首先介绍了国内外柔性直流输电工程的发展情况,并分析了各种电压源换流器、模块化多电平换流器的技术原理。然后着重阐述了柔性直流输电系统主接线拓扑结构的最新研究情况,并对其应用范围、优缺点等做了归纳和分析。在此基础上提出将柔性直流输电仿真技术等作为下一步研究工作的重点,为今后的柔性直流输电工程拓扑方案的研究提供了一定的理论借鉴。  相似文献   

9.
基于PSCAD/EMTDC软件的直流输电系统数字仿真   总被引:19,自引:3,他引:19  
对直流输电系统物理仿真和数字仿真进行了比较 ,说明了直流输电系统数字仿真具有安全性、经济性和方便性等优点 ,且数字计算机、数值计算技术以及与系统仿真有密切关系的新技术的飞速发展为其提供了技术可能性 ,提出了基于PSCAD/EMTDC软件建立直流输电系统模型应该遵循的一般原则和要求 :在模型中保留直流控制保护系统、保持系统的动态性能和对交流系统进行有原则的等值简化。  相似文献   

10.
基于PSCAD/EMTDC软件的直流输出系统数字仿真   总被引:4,自引:0,他引:4  
对直流输电系统物理仿真和数字仿真进行了比较,说明了直流输电系统数字仿真具有安全性、经济性和方便性等优点,且数字计算机、数值计算技术以及与系统仿真有密切关系的新技术的飞速发展为其提供了技术可能性,提出了基于PSCAD/EMTDC软件建立直流输电系统模型应用该遵循的一般原则和要求:在模型中保留直流控制保护系统、保持系统的动态性能和对交流系统进行有原则的等值简化。  相似文献   

11.
硬件在环仿真(HILS)是提升现代大电网系统仿真准确性、支撑开展高压直流/新能源等装置可靠性验证的有效手段。首先,在介绍HILS基本架构和优势的基础上阐述了HILS在提升电网一次系统仿真准确性、支撑电网二次控制系统测试验证方面的技术及应用现状;然后分析了电力系统HILS平台构建面临的挑战,提出可接入多异构数据模型的灵活架构技术、有限仿真资源下新能源场站等值和大型二次系统等效技术、控制对象接入的通用接口技术等技术方向;最后,从传统技术深化和与新技术融合发展2个角度探讨了电力系统HILS的未来发展趋势,以期对相关平台研发和仿真实验工作提供一定参考。  相似文献   

12.
随着柔性直流输电系统电压等级和输电容量不断提升,采用电压源型换流器的柔性直流输电技术在我国得到了大量应用,同时其对电网的影响也进一步增强。文中基于PSD-BPA机电暂态仿真软件中新开发的计及故障穿越策略的柔性直流控制系统,比较了不同直流接入方案下弱受端电网受扰恢复特性的差异。在此基础上,分析了柔性直流控制方式、直流传输功率大小以及故障穿越控制策略等因素对换流站动态有功和无功功率特性的影响。最后针对西藏弱受端电网电压稳定问题提出了优化方案,仿真结果表明优化柔性直流故障穿越控制关键参数可以改善弱受端电网故障后的恢复性能。  相似文献   

13.
基于MMC多端柔性直流输电保护关键技术研究   总被引:5,自引:0,他引:5       下载免费PDF全文
基于MMC的多端柔性直流输电是直流输电的重要发展方向。对MMC多端柔性直流输电控制保护系统进行了研究,介绍了一种基于子模块电容电压优化平衡控制算法的控制策略,详细给出了柔性直流输电系统保护配置,对阀侧交流母线差动保护策略和换流器区保护策略等保护关键技术问题进行深入研究,给出了具体的解决策略。并搭建了多端MMC-HVDC仿真试验系统验证控制保护研究策略,详细分析了阀侧交流母线两相短路接地故障和换流器上桥臂短路故障仿真结果。所研究内容对多端MMC-HVDC工程的研究和发展有重要的借鉴意义。  相似文献   

14.
本文提出了典型高比例新能源地区电网采用柔性直流输电(VSC-HVDC)技术进行加强的方案设计方法和流程。结合高比例新能源地区电网的特征,首先分析了制约其安全稳定运行的3个主要因素;然后从加强电网安全稳定性的角度,以云南楚雄电网为例设计了采用VSC-HVDC的两种加强方案,进一步提炼出了高比例新能源地区电网采用VSC-HVDC进行加强的选址和定容方法,并且归纳了3个衡量VSC-HVDC加强方案优劣的技术性指标,分别是线路负载率、电压水平以及VSC接入点强度。计算结果表明,按照所提的方案设计方法,两种VSC-HVDC加强方案均能将过载严重的线路负载率降低至90%以下,很好地解决了新能源大发方式下电磁环网的线路过载问题;此外,采用VSC-HVDC技术对地区电网进行加强后,故障期间楚雄地区关键节点的电压水平提升了10%~19%,有效地提升了系统的电压水平。  相似文献   

15.
张延迟  解蕾  解大  艾芊  王志新 《电气自动化》2008,30(5):22-25,28
随着电力电子技术和新能源发电的快速发展,出现了基于VSC(Voltage Sourced Converters)技术的轻型直流输电系统(HVDC Light)。文中阐述了基于VSC的柔性直流输电系统的结构和工作原理,利用基于虚拟磁链直接功率控制的方法对HVDC Light系统进行了仿真,实现了双侧换流器的独立控制,仿真结果证实了基于此算法的HVDC Light系统可以正常稳定地运行,对有功功率和无功功率实现了协调控制,并且可以满足系统不同的无功需求。  相似文献   

16.
为了减少常规直流输电(LCC-HVDC)的滤波器投切,充分利用柔性直流输电(VSC-HVDC)的无功调节容量和其动态调节无功的优势,提出一种适用于LCC-HVDC和VSC-HVDC电气距离较近情况下的混合多馈入直流系统的无功协调控制策略.分别分析了LCC-HVDC和VSC-HVDC的无功控制原理及其控制特点,基于滤波器投切和VSC-HVDC两者的无功控制优势,设计了混合多馈入直流系统的无功协调两级控制模块,达到减少滤波器投切,抑制暂态低电压和过电压的目的.在PSCAD/EMTDC中搭建混合多馈入直流系统模型并进行仿真验证,仿真结果表明所提协调控制策略的控制效果优于VSC-HVDC采用定无功功率控制和定交流电压控制.  相似文献   

17.
渝鄂柔性直流背靠背工程是世界首个用于异步大型电网互联的柔性直流工程。为了对该工程阀基控制设备进行技术研究与出厂测试,研究MMC拓扑及其控制原理,依据渝鄂工程特点进行了阀基控制设备的软硬件设计,搭建了阀基控制设备全规模接入的动态模拟系统,并提出了全面的控制设备试验项目及试验方法。通过测试结果与渝鄂工程现场数据的对比,证明所搭建动态模拟系统的相似性和等效性。该测试平台已在渝鄂柔性直流输电工程阀基控制设备出厂试验中应用,也可为其他柔性直流输电工程控制设备的试验提供借鉴。  相似文献   

18.
机电—电磁联合仿真技术兼顾机电暂态仿真和电磁暂态仿真二者优点,可应用于交直流输电系统仿真研究中,基于PSS/E与PSCAD/EMTDC联合仿真技术,对南方电网进行直流系统电磁暂态建模及交流系统机电暂态建模,并进行实例仿真研究,通过不同仿真工具的仿真曲线对比分析,说明机电—电磁混合仿真技术的优越性。研究结果表明,机电—电磁混合仿真与机电暂态仿真整体上系统响应趋势近似,在故障期间及故障恢复初期直流响应特性上还存在一定的差异性,机电—电磁联合仿真技术能更精确地体现多次换相失败及换相失败后直流系统恢复的过程,对交直流输电系统仿真研究具有较强的适用性。  相似文献   

19.
为了研究直流输电工程对电网的影响,针对直流输电控制与保护系统,提出了一种工程镜像仿真概念并研究了其实现方法。首先分析了工程镜像仿真的基本原理和关键技术。然后针对直流输电控制与保护系统典型开发平台SIMADYN D开发了工程镜像仿真工具New Link C组态软件平台,利用New LinkC完成了直流控制保护仿真程序功能模块的编制,并封装成能够被RTDS识别的直流控制保护仿真模块,与已有的RTDS系统元件仿真模块(如交流系统、换流变压器、换流阀、直流线路、滤波器等)构成RTDS闭环交直流实时仿真系统。最后以贵广Ⅱ回直流输电项目为例,对比分析了实际控制保护系统与镜像系统的暂态过程,结果表明,该镜像系统能准确反映实际控制保护Ⅱ系统的运行特性,可替代实际控制保护系统进行直流输电工程的仿真研究。  相似文献   

20.
针对传统换流器控制策略下柔性直流(voltage source converter based HVDC,VSC-HVDC)输电系统难以有效参与交流系统频率的动态调节以及交直流系统间功率传输不平衡等问题,在对虚拟同步发电机(virtual synchronous generator,VSG)运行机制特性进行研究的基础上,提出了一种基于VSG技术的VSC-HVDC输电系统受端换流器控制策略。首先,基于VSC-HVDC输电系统拓扑模型,分析了换流站虚拟同步化的可行性;其次,将具有有功模糊PI下垂控制的VSG技术引入到高压直流输电系统,通过调整下垂系数,使柔性直流输电系统逆变器在稳态运行及暂态故障下可以保障系统有功功率平衡传输,使其具有协调控制交流系统频率和直流系统电压的能力;最后,在Matlab/Simulink中构建了一个三端系统用于仿真验证。结果表明:VSC-HVDC输电系统在采用VSG控制策略后,可以改善交流电网的惯性水平,使频率变化得到衰减,其调压控制功能也能在稳态和暂态下提供较好的功率支撑作用,有效提高了交直流系统的稳定性和可靠性。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号