首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The current work reports on the realization of movable micromachining devices using self-aligned single-mask fabrication process. Only dry etching process utilizing inductively coupled plasma reactive ion etching was used to release 3D micro structures from single crystal silicon substrate. No wet etching process is required to release the structures as is the case with silicon on insulator (SOI) wafers. Also the developed process does not require an SOI substrate and accordingly dispensing with the application of a wet etching step, thus yielding uniform structures without stiction. The optimized process was applied to realize thermally actuated microgrippers. The article presents the development of the fabrication process and demonstrates the operation of the fabricated device. The optimized process provides an avenue for low cost fabrication of movable micromachining devices without the use of complicated wet etching steps typically associated with SOI substrates.  相似文献   

2.
This study presents a bulk micromachining fabrication platform on the (100) single crystal silicon substrate. The fabrication platform has employed the concept of vertical corner compensation structure and protecting structure to integrate the wet anisotropic etching and DRIE processes. Based on the characteristics of wet anisotropic etching and DRIE, various MEMS components are demonstrated using the bulk micromachining platform. For instance, the free suspended thin film structures and inclined structures formed by the {111} crystal planes are fabricated by the wet etching. On the other hand, the mesas and cavities with arbitrary shapes and the structures with different leve l heights (or depths) are realized by the characteristics of DRIE. Since the aforementioned structures can be fabricated and integrated using the presented fabrication platform, the applications of the bulk micromachining processes will significantly increase.This research is based on the work supported by WALSIN LIHWA Corporation and the National Science Council of Taiwan under grant of NSC-91–2218-E-007–034. The authors would like to thank the Central Regional MEMS Research Center of National Science Council, Semiconductor Research Center of National Chiao Tung University and National Nano Device Laboratory for providing the fabrication facilities.  相似文献   

3.
A novel device structure utilizing deep reactive ion etching (DRIE) technology and aligned wafer bonding was developed. In this structure, an interconnecting scheme for electrical signal routing with signal crossovers is realized. Also, the `footing effect' and the `bowing effect,' which are inherent in DRIE processes, were investigated in detail. A mask layout strategy for solving the footing effect was developed. A novel two-step etching process was developed for solving the bowing effect. Lateral accelerometers (one axis and two-axis) were successfully fabricated using this technology  相似文献   

4.
 For devices of bonded silicon and glass structures fabricated by deep reactive ion etching (DRIE), it is important to avoid damage at the silicon sidewall and backside during through-wafer etching in order to ensure reliability of devices. The silicon damage caused by charge accumulation at the glass surface is inhibited by means of an electrically conducting layer patterned onto the glass and connected with the silicon. In this study, indium tin oxide films were applied in order to identify the positions of silicon damage in the structural layout without destruction of samples. From the results, we report that there exists silicon damage caused by charge accumulation at the silicon islands divided by DRIE and we present important rules for mask layout when utilizing this method. Received: 10 August 2001/Accepted: 24 September 2001 This paper was presented at the Fourth International Workshop on high Aspect Ratio Microstructure Technology HARMST 2001 in June 2001.  相似文献   

5.
粘连是硅微电容传声器释放牺牲层过程中不容忽视的一个严重问题,大大降低了器件的成品率.在背板上制备微突出(bump)结构,可以较彻底阻止粘连现象发生,提高传声器的成品率.以往的防粘连微突出结构大都制备在上背板结构硅微电容传声器的背板上,它制备工艺简单,但是无法得到厚背板,形成“软“背板,影响传声器的性能.本文提出在下背板上制备防粘连微突出结构,因为其可以做的较厚,避免了软背板的缺点,这时利用氮化硅形成微突出,运用该法制备的硅微电容传声器有效的防止粘连现象发生.对该方案还可进行改进,利用重硼掺杂单晶硅形成微突出,该工艺流程重复性好.最终我们研制成具有防粘结构的硅微电容传声器.  相似文献   

6.
The micro-trench structures with high aspect ratio based on the single crystal silicon substrate are fabricated via the deep reactive ion etching (DRIE) process at different etching patterns. The relationship between the micro-trench structures and the DRIE etching patterns is investigated by simulating and processing. The micro-trench structures are obtained to meet the requirements of some MEMS devices for special applications. The profile roughness and micro-trench structures are observed by the atomic force-microscope and the field emission scanning electron microscopy. The verticality (V) of micro-trench structures is average 0.19 in the oxygen environment. The micro-trench structures exhibit better verticality, less roughness and better stability than that of no oxygen. The scalloping effects gradually decreased and the profile becomes more and more polished.  相似文献   

7.
基于硅塑性变形的蛇形梁垂直梳齿驱动器   总被引:1,自引:0,他引:1  
设计了基于硅塑性变形的垂直梳齿驱动器,中央可动微镜由四组蛇形曲折梁支撑。驱动器的制作采用硅—硅键合技术,首先利用DRIE干法刻蚀技术释放可动梳齿与固定梳齿,然后通过各向异性湿法腐蚀制作的施压凸台实现可动梳齿和固定梳齿的精确自对准,最后利用硅塑性变形使可动梳齿和固定梳齿在垂直方向上产生位错,成功制作出在Z方向依靠位错梳齿实现垂直驱动的蛇形梁静电梳齿驱动器。  相似文献   

8.
We report on the design and fabrication of a low cost active microvalve with a soft elastomer membrane driven by pneumatic actuation. The valve was made in two separate parts, a fluidic part in biocompatible and optically transparent material (PDMS) and a robust pneumatic interface in silicon, which were assembled together. The main issue of alignment and localized selective bonding of the PDMS parts to preserve the membrane mobility, hence the valving function, is described. In this work we also investigated two types of silicon moulds for PDMS casting, made by KOH anisotropic wet etching or DRIE.  相似文献   

9.
This paper presents a simple method to produce microfluidic channels in soda-lime glasses with the aspect ratio >0.5 utilizing a modified wet etching protocol. A low-cost positive photoresist (PR) layer is used as the etching mask for the wet etching process. Prior to the PR and primer coating procedure, a UV activation process is adopted for enhancing the binding strength of the hexamethyldisilazane primer layer and the glass substrate, resulting in an better adhesion for the PR layer. A fast etching recipe is also developed by increasing the acidity and the temperature of the buffered oxide (BOE) etchant. Since the photoresist etching mask does not peel during the etching process shortly, the structure of the etching mask forms a barrier and results in a different diffusion rate for the etchant inside the etched trench structure. A slower etching rate for the glass is observed at the undercut region such that the proposed anisotropic etching pattern can be achieved. Results show that the etching rate of the modified glass etching process is as high as 7.7 μm/min which is much faster than that of pure BOE etchant (0.96 μm/min). Sealed microfluidic channel with the aspect ratio of around 0.62 is produced with the developed method. The method developed in the present study provides a rapid and efficient way to produce microfluidic channels with higher aspect ratio.  相似文献   

10.
11.
在微机电系统(MEMS)制造中,深反应离子刻蚀(DRIE)过程的精度是影响器件特性的重要因素之一.本文设计了一种完全对称弹性梁结构的模态匹配式陀螺的原型器件,以此为对象研究了局域掩膜图形对于DRIE刻蚀过程的影响.器件的测试结果表明驱动和检测模态有明显的失配,该失配的发生原因除了气体阻尼,更主要来源于驱动和检测结构弹性梁尺寸的工艺偏差.在分析了实验过程及结果的基础上可以认为,除了典型的DRIE滞后效应等因素外,器件结构的局域掩膜效应加剧了工艺偏差:对称弹性梁结构周边的非对称掩膜图形导致了刻蚀气体分布的局部不均匀,增加了DRIE刻蚀的侧蚀偏差.  相似文献   

12.
In this paper, we present experimental measurements of the strain energy release rate for stiction-failed polysilicon microcantilevers using a newly developed single cantilever beam peel test. Our experiments show that dry-contacting microcantilevers adhere exclusively as tip-stuck, "arc-shaped" stiction failures, while adhesion under "wet" conditions generate exclusively "s-shaped" stiction failures. Microcantilevers were "peeled" from the substrate under displacement control using a piezoelectric actuator attached to one end of an array of microcantilever beams. The crack length was monitored using interferometric imaging, and related to the applied displacement using established equations from linear elastic fracture mechanics. The pull-off forces associated with "arc-shaped" stiction failures were an average value of 89.7 nN, for 1000 mum long beams, and an average value of 123 nN for 1500 mum long beams. Adhesion energies for s-shaped failures were measured as 13.7 mJ/m2 for IPA released beams and 15.4 mJ/m2 for deionized water released beams. These values are in good agreement with previous measurements. The proposed experimental method enables application of a simple fracture mechanics model using a standard specimen geometry. These experiments, using both wet and dry adhesion failure conditions, show that the quality of the adhesive failure depends upon the magnitude of the forces pulling the microcantilever into contact with the underlying substrate  相似文献   

13.
A sticking (stiction) model for a cantilevered beam is derived. This model includes the effect of the bending moment, which stems from stress gradient along the vertical direction of structural polysilicon, and the temperature during the release process. The bending moment due to the stress gradient will play an important role in evaluating antisticking efficiency since liquid tension and surface energy of microstructures tend to become smaller by newly developed antisticking techniques. The effects of stress gradient and temperature were analyzed and verified with surface-micromachined polysilicon cantilevers. By modifying the substrate polysilicon with grain-hole formation technique, the effects of residual stress gradient in polysilicon on stiction could be observed in the condition of low work of adhesion  相似文献   

14.
Microworld barcoding has become a promising tool for cell biology. Individual and subpopulation cell tracking is of great interest to evaluate cell behaviour. Nowadays, many micrometer and even nanometer size silicon structures can be fabricated using microelectronics techniques. In this work we report for first time the development of 3D barcodes based on silicon substrate. The proposed silicon micromachining technology based on deep reactive ion etching (DRIE) allows to obtain micrometer-sized cylindrical structures with vertical etch profile that defines a bit = 1 and non-vertical etch profile that defines a bit = 0. Although this technology will allow more than 15 bits representation, only 4-8 bits are necessary for cell labelling. The results of this work show that DRIE has become a versatile technique to produce high aspect 3D biocompatible silicon-based barcodes structures for cell studies.  相似文献   

15.
The ability to predict and control the influence of process parameters during silicon etching is vital for the success of most MEMS devices. In the case of deep reactive ion etching (DRIE) of silicon substrates, experimental results indicate that etch performance as well as surface morphology and post-etch mechanical behavior have a strong dependence on processing parameters. In order to understand the influence of these parameters, a set of experiments was designed and performed to fully characterize the sensitivity of surface morphology and mechanical behavior of silicon samples produced with different DRIE operating conditions. The designed experiment involved a matrix of 55 silicon wafers with radius hub flexure (RHF) specimens which were etched 10 min under varying DRIE processing conditions. Data collected by interferometry, atomic force microscopy (AFM), profilometry, and scanning electron microscopy (SEM), was used to determine the response of etching performance to operating conditions. The data collected for fracture strength was analyzed and modeled by finite element computation. The data was then fitted to response surfaces to model the dependence of response variables on dry processing conditions  相似文献   

16.
This paper describes a packaging concept for precise hand-assembly of microelectromechanical systems (MEMS) subsystems that uses mesoscaled deep-reactive ion etching (DRIE) patterned passive deflection spring clusters. The method is intended for applications that require decoupling of subsystem process flows to simplify device fabrication in order to attain macro three-dimensionality, or for cases where the device requires spatially referenced macro- and microfeatures with good precision. The design considerations for the deflection springs are presented, and a simple reduced-order model of the expected elastic behavior is proposed. The assembly concept is demonstrated with an electrospray array test structure. This test structure assembles perpendicularly two wafer substrates. The performance of the test structure is benchmarked using finite-element simulations and by measurements of the misalignment introduced by the assembly. A floor for the ultimate alignment accuracy of the assembly concept is proposed.  相似文献   

17.
This paper illustrates the effect of stiction in actuators on closed loop performance and the importance of techniques, to be incorporated in the monitoring system, for automatic detection of the onset of this phenomenon. Three different techniques are compared on the basis of simulation by means of stiction models and it is shown how loop variables are affected by stiction parameters and by process characteristics. A major finding is that every technique has an uncertainty region where no decision can be taken in the absence of further information about the process. The application on industrial data, recorded on loops affected by stiction during routine plant operation, allows to confirm this result and to assess relative efficiency of the techniques. A simple test to be performed directly on the plant is also proposed to solve the remaining uncertain cases.  相似文献   

18.
提出并实现了一种利用SoI结合金硅原电池保护和反熔丝制作电容式加速度计的新工艺方法。该工艺用SoI顶层硅制作梁和上电极,用衬底制作质量块。采用DRIE从正面刻蚀形成释放孔,TMAH腐蚀实现质量块的释放,在TMAH腐蚀过程中利用金硅原电池保护实现梁和表面极板的保护。在TMAH腐蚀完成前,反镕丝保持断开状态,腐蚀完成后,击穿反镕丝形成导通状态。通过测量金和硅的极化曲线得到60℃25%TMAH中实现原电池保护的金硅面积比不小于5∶1。成功制作成电容式加速度计结构,释放前后梁宽度均在9.4~10μm范围内,表明原电池保护有效。击穿后反熔丝并联导通电阻为5~25 kΩ之间。  相似文献   

19.
A novel approach for fabricating low-pitch arrays of silicon membranes on standard CMOS wafers by combining deep-reactive ion etching (DRIE) and electrochemical etching (ECE) techniques is presented. These techniques have been used to fabricate membrane-based sensors and sensor arrays featuring different membrane sizes on a single wafer with a well defined etch stop. The described procedure is particularly useful in cases when the usage of SOI wafers is not an option. The combination of a grid-like mask pattern featuring uniform-size etch openings for the DRIE process with a reliable ECE technique allowed to fabricate silicon membranes with sizes ranging from 0.01 mm/sup 2/ to 2.2 mm/sup 2/. The development of this new method has been motivated by the need to design a compact n-well-based calorimetric sensor array, where the use of a standard ECE technique would have significantly increased the overall size of the device.  相似文献   

20.
Silicon micromachined hollow microneedles for transdermal liquid transport   总被引:12,自引:0,他引:12  
This paper presents a novel process for the fabrication of out-of-plane hollow microneedles in silicon. The fabrication method consists of a sequence of deep-reactive ion etching (DRIE), anisotropic wet etching and conformal thin film deposition, and allows needle shapes with different, lithography-defined tip curvature. In this study, the length of the needles varied between 150 and 350 micrometers. The widest dimension of the needle at its base was 250 /spl mu/m. Preliminary application tests of the needle arrays show that they are robust and permit skin penetration without breakage. Transdermal water loss measurements before and after microneedle skin penetration are reported. Drug delivery is increased approximately by a factor of 750 in microneedle patch applications with respect to diffusion alone. The feasibility of using the microneedle array as a blood sampler on a capillary electrophoresis chip is demonstrated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号