首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 953 毫秒
1.
Two dry subtractive techniques for the fabrication of microchannels in borosilicate glass were investigated, plasma etching and laser ablation. Inductively coupled plasma reactive ion etching was carried out in a fluorine plasma (C4F8/O2) using an electroplated Ni mask. Depth up to 100 μm with a profile angle of 83°–88° and a smooth bottom of the etched structure (Ra below 3 nm) were achieved at an etch rate of 0.9 μm/min. An ultrashort pulse Ti:sapphire laser operating at the wavelength of 800 nm and 5 kHz repetition rate was used for micromachining. Channels of 100 μm width and 140 μm height with a profile angle of 80–85° were obtained in 3 min using an average power of 160 mW and a pulse duration of 120 fs. A novel process for glass–glass anodic bonding using a conductive interlayer of Si/Al/Si has been developed to seal microfluidic components with good optical transparency using a relatively low temperature (350°C).  相似文献   

2.
Nanocomposite films made up of either Pt–Rh/ZrO2 or Pt–Rh/HfO2 materials were co-deposited using multiple e-beam evaporation sources onto langasite (La3Ga5SiO14) substrates, both as blanket films and as patterned interdigital transducer electrodes for surface acoustic wave sensor devices. The films and devices were tested after different thermal treatments in a tube furnace up to 1,200 °C. X-ray diffraction and electron microscopy results indicate that Pt–Rh/HfO2 films are stabilized by the formation of monoclinic HfO2 precipitates after high temperature exposure, which act as pinning sites to retard grain growth and prevent agglomeration of the conductive cubic Pt–Rh phase. The Pt–Rh/ZrO2 films were found to be slightly less stable, and contain both tetragonal and monoclinic ZrO2 precipitates that also helps prevent Pt–Rh agglomeration. Film conductivities were measured versus temperature for Pt–Rh/HfO2 films on a variety of substrates, and it was concluded that La and/or Ga diffusion from the langasite substrate into the nanocomposite films is detrimental to film stability. An Al2O3 diffusion barrier grown on langasite using atomic layer deposition was found to be more effective than a SiAlON barrier layer in minimizing interdiffusion between the nanocomposite film and the langasite crystal at temperatures above 1,000 °C.  相似文献   

3.
As an alternative to the time-consuming solder pre-forms and pastes currently used, a co-electroplating method of eutectic Au–Sn alloy was used in this study. Using a co-electroplating process, it was possible to plate the Au–Sn solder directly onto a wafer at or near the eutectic composition from a single solution. Two distinct phases, Au5Sn (ζ-phase) and AuSn (δ-phase), were deposited at a composition of 30 at.%Sn. The Au–Sn flip-chip joints were formed at 300 and 400°C without using any flux. In the case where the samples were reflowed at 300°C, only an (Au,Ni)3Sn2 IMC layer formed at the interface between the Au–Sn solder and Ni UBM. On the other hand, two IMC layers, (Au,Ni)3Sn2 and (Au,Ni)3Sn, were found at the interfaces of the samples reflowed at 400°C. As the reflow time increased, the thickness of the (Au,Ni)3Sn2 and (Au,Ni)3Sn IMC layers formed at the interface increased and the eutectic lamellae in the bulk solder coarsened.  相似文献   

4.
Abstract— Results for a ferroelectric‐liquid‐crystal (FLC) display cell, aligned on inorganic SiO2 thin‐film surfaces by using oblique ion‐beam sputtering deposition on the substrates, is presented. A large deposition angle from 60° to 80° can be employed for the thin alignment layer, with thicknesses varying from 5 to 40 nm. Two types of uniform alignment, chevron (before electrical treatment) and quazi‐bookshelf (after electrical treatment), were studied. High‐quality alignment on large‐sized substrates was also easily be achieved because of the linear design of the ion‐beam sputtering source, which was previously a significant challenge for FLC on SiOx layers.  相似文献   

5.
In this work, the influence of substrate properties on the polarization of highly c-axis oriented aluminium nitride (AlN) thin films and as a consequence, on the piezoelectric properties and the wet-chemical etching behaviour is investigated. Therefore, 620 nm thin AlN layers are simultaneously sputter-deposited under nominal unheated substrate conditions on silicon (Si) substrates or on those covered with a sputter-deposited titanium (Ti) film. After wet-chemically etching in a phosphorous acid based solution at 80 °C different residues of AlN remain. Wet-chemical etching of AlN films deposited on Ti results in a high film porosity. In contrast, AlN layers on Si are either hardly attacked or the complete thin film is removed except some remaining conical shaped residues. Furthermore, we demonstrate a change in the measured electro-mechanical properties with changing maximum deposition temperature caused by a self-heating effect of the substrate during the AlN deposition process. The change in piezoelectric properties and the differing etching behaviour is caused by a change in polarity within the AlN layer. These domains are visualized by piezoresponse force microscopy measurements, and are in good agreement with the observed etching results. For layers with mixed polarization, the absolute values of the piezoelectric constant d 33 are reduced due to the counteraction of piezoelectric domains with opposite polarization.  相似文献   

6.
Interest on the Al–Ni equilibrium diagram along the latest years is associated with the attractive properties of its intermetallic phases, such as high thermal stability, high corrosion resistance and high strength to density ratio. The Transient Liquid Phase Bonding (TLPB) is a technological process which can be applied to manufacture new pieces and to perform reparations. Morphology, composition profiles, growth kinetic and hardness as a function of temperature and composition of the Intermetallic Layers (ILs) were analyzed, especially focused on solid–solid interactions during isothermal annealing in reactive diffusion couples Ni/Al (800–1170 °C). The study yields to the following association of the Al–Ni Intermetallic Phases (IPs) to the ILs: L1 (Al3Ni), L2 (Al3Ni2), L3 (Ni-poor AlNi), L4 (Ni-rich AlNi) and L5 (AlNi3). The composition ranges of L3 and L4 are 36–46 and 53–58 at% Al, respectively. Martensitic transformation was found in the half thickness of L4 (L4M and L4S) at 1170 °C. Kinetics show diffusion controlled growth for L2 and L5 and interface reaction control for L4 at 800–1170 °C, while L3 revealed a mixed kinetic behavior: parabolic at 800–1000 °C and linear at 1170 °C. The growth rate constants presented temperature dependence according to the Arrhenius model. Vickers microhardness values decrease with annealing temperature and Ni concentration for ILs, and put in evidence different mechanical properties of L3, L4M and L4S.  相似文献   

7.
A simple microfabrication process to make an uncooled aluminum/silicon dioxide bi-material microcantilever infrared (IR) detector using silicon bulk micromachining technology is presented in this work. This detector is based on high banding of the microcantilever due to the large dissimilar in thermal expansion coefficients between the two materials. It consists of a 1 μm SiO2 layer deposited by 200 nm thin Al layer. Since no sacrificial layer is used in this process, complexity related to releasing sacrificial layer is avoided. Moreover Al is protected in Si etchant using dual-doped tetramethyl ammonium hydroxide. The other advantage of this process is that only three masks are used with four photolithography process. Thermal and thermal mechanical behaviors of this structure are obtained using finite element analysis, and the maximum temperature and displacement at the end of cantilever at 100 pW/μm2 absorbed IR power density on top surface are 7.82°K and 1.924 μm, respectively.  相似文献   

8.
A micro liquid rotor operated by surface acoustic waves (SAWs) was proposed and fabricated. The liquid rotor has two interdigital transducers (IDTs) that generate SAWs, in order to increase efficiency of rotating the liquid. The IDTs were fabricated by patterning Al/Cr on a LiNbO3 substrate. Moreover, the liquid rotor has a cylindrical liquid pool of 4 mm diameter and 200 μm depth. A sidewall of the liquid pool was made of epoxy-based negative photoresist on the substrate. As characteristics of the liquid rotor, we investigated relationships between electric power applied to the IDTs and angular velocity of the liquid or its temperature. Through experimentation, it was shown that the angular velocity and the temperature increase with increasing the electric power. When the fabricated liquid rotor was applied electric power of 2 W, the liquid of 5 μL rotated at 330 rad/s (3,100 rpm) and its temperature reached 65°C.  相似文献   

9.
Phase equilibria of the Al–Ni–Er ternary system at 600 °C and 700 °C were experimentally investigated through X-ray diffraction, scanning electron microscopy and electron probe micro-analysis. New ternary compounds τ11-AlNiEr4, τ12-AlNi6Er13 and τ13-AlNi2Er were discovered in the equilibrated alloy samples. Compounds τ5, τ10, τ13, AlNi3, AlNi, Al3Ni2, Al2Er, ErNi2 and ErNi5 have a certain range of solid solubility. The solid solubility of Ni in Al2Er is 10.3 at.% and 11.25 at.% at 600 °C and 700 °C, respectively. The solid solubility of Al in ErNi2 is 3.6 at.% and 5.09 at.% at 600 °C and 700 °C, respectively. Experiments have verified that CaCu5–ErNi5 is a continuous solid solution and the maximum solubility of Al is up to 33.33 at.%.  相似文献   

10.
Abstract— High‐quality SiO2 films have been fabricated at a substrate temperature of 300°C by utilizing a novel deposition method refered to as radical‐shower CVD (RS‐CVD), in which the substrates and material gases are completely separated from the plasma. On this account, SiO2 deposition is achievable without plasma damage and excessive decomposition of the material gases. The electrical characteristics of RS‐CVD SiO2 films are comparable to those of thermal SiO2. Furthermore, the compact parallel‐plate structure of RS‐CVD is suitable for large‐area deposition.  相似文献   

11.
The structural, optical and electrical properties of plasma enhanced chemical vapor deposited silicon nitride layers are investigated, which have been used as a dielectric layer during RF MEMS fabrication. During growth, the gas ratio (SiH4/NH3) is varied between 0.33 and 0.5 and pressure is varied between 400 and 700 mTorr while deposition time is kept constant. The results in the films show differing properties. The thicknesses of the resultant films are between 150 to 220 nm with different gas flow ratios and pressures whereas the deposition time was kept constant. A Bruggeman effective medium approximation is utilized to model the refractive index of the films. Reflectance measurements were carried out in the range of 210–250 nm. The refractive indexes of the films varied between 1.79 and 2.03, with a dielectric constant varying from 6.66 to 7.22. Capacitance voltage measurements yield a fixed dielectric charge value in the low ?1012 cm?2 while a breakdown voltage of 915 V μm?1 is achieved for films grown at the lowest gas ratio and pressure. The quality of Si/SixNy interface is also considered.  相似文献   

12.
In order to apply WO3 thin films to the NOx gas sensor, WO3 thin films (3000 Å) were fabricated by using dc reactive sputtering method on alumina substrate and assembled as a unit of an NOx gas sensor by adopting a patterned heater on the back side of substrate. The deposition temperatures of WO3 thin film were changed from 200°C to 500°C, and then post-annealed for the crystallization for 4 h at 600°C. There were no WO3 phases at the substrate temperature of 200°C, but the crystalline phases of WO3 thin film were appeared with the increase of substrate temperature from 200°C to 500°C. The post-annealing of as-deposited WO3 thin films at 600°C resulted in the enhancements of crystallinity, but it was observed that the quality of the final phases severely depends on the initial formation of phase during deposition. From the SEM images, crack free morphologies were found, which was different from the room temperature growth films. The sensitivity (Rgas/Rair) of as-deposited thin films was ranged from 4 to 10 for the 5 ppm NO test gas at the measuring temperature of 200°C. However, after post-annealing process at the temperature of 600°C, the sensitivities were increased around the values of 70–180 at the same test condition. These results show the WO3 thin films need to be processed at least at the temperature of 600°C for the well-improved sensitivity against NOx gas. It was also observed that the recovery rate of a sensing signal after measuring sensitivity was faster in the in-situ sputtered films than in the evaporated films or room temperature sputtered films.  相似文献   

13.
In this study, the authors report on high‐quality amorphous indium–gallium–zinc oxide thin‐film transistors (TFTs) based on a single‐source dual‐layer concept processed at temperatures down to 150°C. The dual‐layer concept allows the precise control of local charge carrier densities by varying the O2/Ar gas ratio during sputtering for the bottom and top layers. Therefore, extensive annealing steps after the deposition can be avoided. In addition, the dual‐layer concept is more robust against variation of the oxygen flow in the deposition chamber. The charge carrier density in the TFT channel is namely adjusted by varying the thickness of the two layers whereby the oxygen concentration during deposition is switched only between no oxygen for the bottom layer and very high concentration for the top layer. The dual‐layer TFTs are more stable under bias conditions in comparison with single‐layer TFTs processed at low temperatures. Finally, the applicability of this dual‐layer concept in logic circuitry such as 19‐stage ring oscillators and a TFT backplane on polyethylene naphthalate foil containing a quarter video graphics array active‐matrix organic light‐emitting diode display demonstrator is proven.  相似文献   

14.
 A detailed structural characterisation of synthetic diamond films, previously investigated as UV photodetectors, has been carried out by SEM, X-ray diffraction, catholuminescence (CL), micro-Raman spectroscopy and micro-photoluminescence. The films were deposited by microwave plasma enhanced chemical vapour deposition using a CH4–CO2 gas mixture. The effect of a systematic change of the methane concentration on film morphology, preferential orientation and crystal quality has been investigated at two different substrate temperatures, 750°C and 850°C. A strong decrease of both band-A CL and width of the diamond Raman line at 1332 cm-1 has been observed, at lower substrate temperature, going towards (1 0 0) texturing, consistent with the attribution of band-A luminescence to the presence of structural defects such as dislocations. A strong correlation between methane-induced texturing and UV detector performance has been evidenced: poorly oriented films exhibit a better UV photoresponse than highly textured films. Raman and luminescence measurements suggest that the limiting factor for the detector performance is related, rather than to structural defects to centres of different nature, whose density strongly depends on the sample preferential orientation. Received: 30 March 1999/Accepted: 12 April 1999  相似文献   

15.
We have fabricated microthruster chip pairs—one chip with microthruster structures such as injection capillaries, combustion chamber and converging/diverging nozzle machined using the deep reactive ion etching process, the other chip with sputtered platinum (Pt) thin film devices such as resistance temperature detectors (RTDs) and a heater. To our knowledge, this is the first microelectromechanical systems-based microthruster with fully integrated temperature sensors. The effects of anneal up to 1,050°C on the surface morphology of Pt thin films with varied geometry as well as with/without PECVD-SiO2 coating were investigated in air and N2 and results will also be presented. It was observed that by reducing the lateral scale of thin films the morphology change can be suppressed and their adhesion on the substrate can be enhanced. Chemical analysis with X-ray photoelectron spectroscopy showed that no diffusion took place between neighboring layers during annealing up to 1?h at 1,050°C in air. Electrical characterization of sensors was carried out between room temperature and 1,000°C with a ramp of ±5?Kmin?1 in air and N2. In N2, the temperature-resistance characteristics of sensors had stabilized to a large extent after the first heating. After stabilization the sensors underwent up to eight further temperature cycles. The maximum drift of the sensor signal was observed for temperatures above 950°C and was less than 8.5?K in N2. To reduce the loss of combustion heat, chip material around microthruster structures was partially removed with laser ablation. The effects of thermal insulation were investigated with microthruster chip pairs which were clamped together mechanically. The heater was operated with up to 20?W and the temperature distribution in the chip pairs with/without thermal insulation was monitored with seven integrated RTDs. The experiments showed that a thermal insulation allows the maximum temperature as well as the temperature gradient within the microthruster chip pairs to be increased.  相似文献   

16.
This study presents the design and fabrication of a novel piezoelectric actuator for a micropump with check valve having the advantages of miniature size, light weight and low power consumption. The micropump is designed to have five major components, namely a piezoelectric actuator, a stainless steel chamber layer with membrane, two stainless steel channel layers with two valve seats, and a nickel check valve layer with two bridge-type check valves. A prototype of the micropump, with a size of 10 × 10 × 1.0 mm, is fabricated by precise manufacturing. The check valve layer was fabricated by nickel electroforming process on a stainless steel substrate. The chamber and the channel layer were made of the stainless steel manufactured using the lithography and etching process based on MEMS fabrication technology. The experimental results demonstrate that the flow rate of micropump accurately controlled by regulating the operating frequency and voltage. The flow rate of 1.82 ml/min and back pressure of 32 kPa are obtained when the micropump is driven with alternating sine-wave voltage of 120 Vpp at 160 Hz. The micropump proposed in this study provides a valuable contribution to the ongoing development of microfluidic systems.  相似文献   

17.
In this paper we report an optimization of metal quality of planar MEMS electromagnetic coil through thermal annealing process. The study aims to see the effects of annealing process on the quality of metal layer deposited on localized p-type silicon regions. Two annealing process parameters namely isothermal (annealing under time variations in constant temperature) and isochronal (annealing under temperature variations at constant time) were performed on metal contact on highly doped Si substrate and characterized using transfer length method method by measuring the specific contact resistance ρ C of the metal traces. The measurement results showed that the annealing process have significant influence on physical and electrical characteristics of the metal layer. Analysis showed that the quality of metal layer was significantly improved through the annealing process after treatment at temperature variations between 425–550 °C. An optimum annealing at 525 °C for 15 min was observed and the contact resistance can be reduced significantly up to 400 %. The results also showed that the surface roughness improves while metal contact resistance decreases 40 times when the metal is annealed for more than 10 min. The planar coil structure was designed to reduce the device density of a compact magnetic micro-sensor system.  相似文献   

18.
文章采用微波等离子体化学气相沉积法,以单晶金刚石籽晶为衬底进行金刚石外延生长,通过拉曼光谱、扫描电子显微镜及光学显微镜等多种表征测试手段,系统地研究了衬底温度对单晶金刚石同质外延生长的影响机理.研究结果表明,衬底温度是影响同质外延单晶金刚石生长速率、生长模式和生长缺陷的重要因素:在一定温度范围内,单晶金刚石的生长速率随衬底温度的升高而增加,与此同时,金刚石的生长模式也由丘状生长转变为台阶生长.当单晶金刚石的生长厚度超过1 mm时,较高的衬底温度容易导致沉积层边缘部分产生孪晶等缺陷.拉曼光谱表征结果显示,微波等离子体化学气相法沉积的单晶金刚石质量优于传统的高温高压法.  相似文献   

19.
Ababneh  A.  Al-Omari  A. N.  Dagamseh  A. M. K.  Tantawi  M.  Pauly  C.  Mücklich  F.  Feili  D.  Seidel  H. 《Microsystem Technologies》2017,23(3):703-709

In this paper we report on the morphological and electrical properties of platinum (Pt) thin-films with Titanium (Ti) and, alternatively, Titanium dioxide (TiO2) as adhesion layers for high temperature applications. All films were sputter deposited on silicon substrates and afterwards annealed in air up to 800 °C. The results show that Ti diffuses into Pt grain boundaries forming oxide precipitates (TiOx) in the Pt grain boundaries. The resistivity of Pt/Ti thin-films increased continuously with annealing temperature up to 500 °C and decreases again continuously above 500 °C. In contrast, TiO2 demonstrates a dense stable oxide layer after annealing. Pt/TiO2 thin-films show a continuous decrease in the sheet resistance with increasing the annealing temperature. Accordingly, TiO2 thin-film is the preferable adhesive layer for Pt over Ti thin-films for high temperature applications.

  相似文献   

20.
The aim of this paper is to determine the mechanical properties of the films that typically compose a membrane based thermal flow sensor. Departing from a sample composed of a single layer of Si3N4 deposited by low pressure chemical vapour deposition, used as a basic mechanical support of a great variety of micromechanical sensors, the residual stress introduced by two different passivating layers deposited by plasma enhanced chemical vapour deposition has been determined. The characterization has been performed by means of the bulge test. This technique allows to obtain not only the residual stress of the samples under test, but also the Young modulus of the stacked layers. In addition it has to be emphasized that up to now, this test has been done only in bare membranes and at ambient temperature; in this work, bulge test has been carried out directly on the thermal flow sensors. As these devices operate in the range of 100–200 °C, three different temperatures within this range have been tested, providing a direct measurement of the evolution of the average residual stress onto biased sensors membranes.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号