首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
 Quasi-three-dimensional (3D) microstructure fabrication technique utilizing hard X-ray lithography (HXL) has been developed. In this technique, as the intensity distribution of the X-rays is controlled by a newly developed bending mirror, the exposure residual depth of polymethyl methacrylate (PMMA) resist is controlled over the exposed area. The maximum difference of depths was approximately 50 μm over the large area more than 60 mm (horizontal) × 5 mm (vertical). We also investigated the effects of controlling the beam intensity distribution for exposure changing X-ray mask absorber shapes and angle on the obtained quasi-3D resist pattern shapes. As the results, Quasi-3D PMMA patterns with inclined shape sidewall and graded depths were successfully fabricated. We believe this technique greatly expands applications of LIGA process. Received: 10 August 2001/Accepted: 24 September 2001 This paper was presented at the Fourth International Workshop on High Aspect Ratio Microstructure Technology HARMST 2001 in June 2001.  相似文献   

2.
The structure quality of deep X-ray lithography components strongly depends on the quality of the applied X-ray mask. In this article we compare the results obtained with two different mask types. Sophisticated working masks generated by e-beam lithography, soft X-ray lithography and electroplating of gold absorbers on a titanium mask membrane have been fabricated at the Institute for Microstructure Technology, Research Center, Karlsruhe (FZK/IMT), Germany. Prototype masks generated by e-beam lithography, optical lithography and electroplating of gold absorbers on a polyimide mask membrane have been fabricated by Optnics Precision, Japan, with the aim to offer commercially available low cost masks. Both mask types were applied to pattern PMMA resist layers of 300–750 μm thickness at the 2.5 GeV electron storage ring ANKA, Germany, using comparable process parameters. FZK/IMT masks provide microstructures with significantly better structure quality. The layout area, however, is currently limited to 12 cm2, and the Ti mask membrane tends to lead to a slight resist surface attack, such as rounding of the resist edges. Optnics masks provide microstructures with reduced structure quality due to sidewall striations (sidewall roughness up to 2 μm) and thermal distortions (of up to 3–5 μm) which limit the potential scope of applications. They could nevertheless potentially be applied as low quality, low cost X-ray masks. High resolution and high accuracy applications, however, require more sophisticated but also more expensive masks, like the Ti-masks from FZK/IMT.  相似文献   

3.
4.
We have investigated and report in this paper the factors influencing the deformation caused by the dependence between the absorbed X-ray energy on the resist and the shape of the absorber on the X-ray mask. Based on the measurement of errors that occurred during the transferring process between the 2-D shape of mask pattern and the resulting wall of the fabricated 3-D structure, we have developed newly useful graphical data on the absorbed X-ray energy, dosage, and shape of a microstructure. As a result, it is being reported as a method for compensation for the deformed shape after the fabrication of a quadruplets-microneedle. We have considered a number of factors affecting the deformation and finally realized that the effect of a dose–depth nonlinear curve is the most possible cause. Without the compensation of the mask design, we could observe the deformed shapes of the sloped sidewall on the exposed structures. Polymethylmethacrylate microneedle structures fabricated by X-ray lithography with an additional plane-pattern to cross-section transfers technique are directly influenced by the absorber on the X-ray mask pattern. The sidewall of the microneedle was improved by changing the mask pattern from a double right-triangular pattern to a double semi-circular pattern, modeled by comparing the results from a mask-pattern and the actual structure.  相似文献   

5.
We introduce a modified LIGA process architecture to manufacture a static lamellar grating Fourier-transform spectrometer invented by Moser and Möller (European patent EP 0 765 488 B1, 1994). Such spectrometers hold unique advantages over common Michelson-type FTIRs including high time resolution, speed, compactness, and robustness. To cope with the spectrometer’s demand for precise high-aspect-ratio micro-fabrication, we present a modified LIGA process which enhances the X-ray lithography by means of a moving mask technique (Heussler and Moser Lithography method and apparatus PCT/SG2011/000376, 2011). The technique relies on independently moving multiple masks stacked on top of each other during the lithographic step and thus allows to locally vary the deposited dose in a positive tone photoresist. First manufacturing results as well as a performance test of a prototype spectrometer are reported.  相似文献   

6.
Microfabrication using X-ray lithography is a well established process in many laboratories worldwide. Radiation spectra, mask technology and process conditions are optimized for patterning resist thicknesses of several hundred micrometers with lateral dimensions of a few microns. This article provides first results as to how far these technologies can be extended to form structures with sub-micrometer lateral dimensions in resist layers of a few micrometers thickness. Available equipment and processes of the 2.5 GeV electron storage ring ANKA and the process technology of the Institut für Mikrostrukturtechnik (IMT) in Karlsruhe, Germany, have been applied. An X-ray mask with a 2.7 m Ti-membrane and 20 m thick Au-absorbers is used to expose 1.6 m PMMA resist on silicon wafers. As the smallest features on the mask are 2 m, a double exposure with an intermediate deliberate relative movement between mask and resist generated sub-micron resist test structures. Smallest feature sizes are between 300 and 400 nm. They are not yet limited by diffraction, but by different process conditions that lead to adhesion loss, resist cracking and surface rounding. It has been shown that an intermediate layer of 1.2 m thick polyimide between resist and substrate significantly enhances adhesion and reduces resist cracking. Reducing the beam power impinging onto the sample from typically 21 to 0.4 W leads to a 50% reduction of the surface rounding at the top of the microstructures. To demonstrate the capability to pattern thicker resist layers, first samples with an increased resist thickness of 4.1 m and an aspect ratio of up to 8 were processed. Finally, a metal mesh with 2 m thick Au-absorbers and 900 nm hexagonal holes was applied to pattern showpieces of sub micron features using a reduced electron energy of 1.3 GeV.The high resolution metal mesh was provided by R. Fettig of IMT.  相似文献   

7.
Creatv MicroTech has developed unique fabrication techniques to make high precision, high-aspect-ratio metal microstructures to custom specifications. A lithography based fabrication method permits precise fabrication of various microstructures. Collimators and antiscatter grids with continuous, smooth, thin, parallel or focused septa have been fabricated using deep X-ray and optical lithography, combined with metal electroforming. Microfabrication of high-aspect-ratio structures, especially of relatively large areas, presents many challenges: specialized mask design and X-ray mask fabrication; resist preparation, optimal exposure parameters, post-exposure processing, electroforming, polishing, and final assembly. Here, we present microstructures of various designs that we fabricated and describe the challenges that had to be overcome.  相似文献   

8.
This paper presents the recent development of a simulation tool for deep X-ray lithography. The simulation tool named DoseSim (Meyer et al. in Rev Sci Instrum 74(2):1113–1119, 2003) is a graphical user interface, working under Windows, specially dedicated to the necessary requirements of X-ray lithography setting at a synchrotron. The previous version included the computation of synchrotron radiation from bending magnets, the effects of the optical properties of materials, single mirror and the necessary parameters for the resist exposure. New functionalities, including among others, the exposure time calculation, the insertion of a double mirror, secondary effects (Fresnel diffraction, dose deposited under the absorber) have been added. Also, DoseSim includes traceability concerning the database and calculations used, and de facto the results obtained. Furthermore, Monte Carlo calculations using the PENetration and Energy LOss of Positrons and Electrons (PENELOPE) (Salvat et al.in OECD/NEA Data Bank, France, NEA N°6416, http://www.nea.fr/lists/penelope.html, 2008) code of the spatial distribution of the dose deposited by an X-ray beam in a resist are used. The PENELOPE results (simulations were done mono-energetically for a large range of energy) are the basis of the DoseSim routines for the calculations of the absorbed dose behind the absorber, and at the interface resist/seed layer/substrate. Example of calculations will be discussed along with the effects on dose from different seed layers and substrates.  相似文献   

9.
The minimum feature size producible by LIGA X-ray lithography is fundamentally limited by the redistribution of primary doses via photoelectrons and the influence of the resulting dose distribution on resist development. Secondary radiation from mask and substrate are well known as source for pattern distortion in deep X-ray lithography. Numerical simulations by means of Monte Carlo simulations using PENELOPE (Salvat et al. in PENELOPE-2008: a code system for Monte Carlo simulation of electron and photon transport. http://www.nea.fr/html/dbprog/penelope-2008.pdf, 2008) are applied to quantify these additional dose values in the resist/substrate interface and the irradiated/shadowed interface. A significant reduction of the additional dose by secondary radiation from the plating base is not observed for Au and Ti layers thicker than 10 nm. The influence of polarized or unpolarized X-rays might be neglected for structure dimensions larger than a few 10 nm. As an example of critical dimension, simulations were used to predict the structure quality of grating structures with a period of 2.4 μm and duty cycle 0.5 in a resist layer of 300 μm.  相似文献   

10.
This paper describes the fabrication of poly(methyl methacrylate) (PMMA) microstructures with three-dimensional (3-D) sloped sidewalls using synchrotron-radiated (SR) deep X-ray lithography (DXRL). Here, the developer temperature was varied to produce variations in the inclination angle of the sloped sidewalls. We found that the PMMA sidewall inclination angle and height were controlled by the dosage, development time, and development temperature. When the development temperature was low, the inclination angle was nearly 0°, regardless of dosage amounts or exposure time. When the development temperature was high, microstructures with sloped sidewalls were fabricated; as the dosage amount and development time increased, the inclination angle increased. The ability to control the PMMA sidewall inclination angle suggests the application of this technique to microstructure fabrication technologies, such as 3-D microelectromechanical system (MEMS) device components, in which the inclination angle becomes the draft angle for moulding processes.  相似文献   

11.
 Based on a moving mask deep X-ray lithography concept, a new deep X-ray exposure system with multi stage has been built up, which can fabricate 3 dimensional microstructures with controllable free shaped wall such as inclined, curved and vertical wall. The system has 6 stages, an X-stage and a Y-stage for substrate scanning, a substrate tilt stage and a substrate rotation stage for controlling an incident X-ray angle to a substrate, an X–Y stage for mask movement and X–Y stage for substrate and mask alignment. The system performance has been confirmed by fabricating microstructures such as gratings, micro grid and micro prism. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

12.
This article describes the fabrication of polymer structures with lateral dimensions in the sub-micron regime using hard X-rays (λc ≈ 0.4 nm) from the electron storage ring ANKA. Spincoated polymethylmethacrylate (PMMA) grades have been analyzed with respect to development rates and contrast. The contrast has been determined to be constant over a wide dose regime but rapidly decreases for dose values below 1 kJ/cm3. Films with a thickness from 2 to 11 μm have been patterned using a high resolution X-ray mask consisting of 2 μm thick gold absorbers on a suspended 1 μm thick silicon nitride membrane. The fabrication of sub-micron X-ray lithography structures with feature sizes down to 400 nm is confined by the mechanical parameters of the resist material and the process conditions. Surface tension after development limits the achievable aspect ratio of isolated pillars and walls, depending on the actual resist height. PMMA structures have been successfully used as template for electroplating of 1 μm thick gold to demonstrate the fabrication capability of sub-micron scale metal parts.  相似文献   

13.
Conventional three-dimensional (3D) microstructures such as arcs or spiralities are generally fabricated using some complicated methods like LIGA or two-photon lithography. In this paper, a new approach of fabricating 3D microstructures is provided. The process is based on UV-LIGA technology yet including a novel reformation method in the post bake procedure. The fabrication process started with coating SU-8 as thick as 500 microns on the silicon substrate, and then it was followed by an exposure with patterned mask under UV light. Subsequently, a force on the exposed SU-8 photo resist was applied in the post-bake process. By adjusting the amount of force, the way in which the force was placed and the exposure dose, we directly fabricated some complicated three-dimensional structures on the SU-8 photo resist after development of the SU-8. We call this microfabrication method as Force-LIGA (F-LIGA). Firstly, orthogonal experiment method conducted to optimize the hot-press process is presented, and then we give some experiment examples using F-LIGA approach and discuss the relationships among the exposure time, pressure and the profile of microstructures. The fabrication process can be used widely in making useful three-dimensional devices.  相似文献   

14.
An X-ray lithography lathe has been developed that can pattern cylindrical, ellipsoidal, and other nonplanar objects. This lathe is capable of patterning on a micron scale a wide variety of shapes including shapes impossible to achieve with a conventional lathe. A cylindrical core covered with a suitable resist is rotated while being exposed with a collimated X-ray source through a mask. The mask absorbs X rays up to a particular radius from the center of the core and the resist beyond that radius is removed in a developer. Several cylindrical cores were coated with poly(methylmethacrylate) (PMMA) 5 to 125 μm thick and patterned with X-rays down to a 250-μm horizontal scale (along the lathe axis). The exposure time for a cylindrical PMMA layer is ~three-four times longer than a planar layer with the same thickness. The capabilities of this technology, lathe apparatus, dose calculations, and initial exposure results are described  相似文献   

15.
Micro pore optics (MPO) as an X-ray imaging system is perfectly suited for the applications in space telescopes due to its light-weight and high-resolution properties. We report the fabrication of MPO samples by LIGA process focusing on its sidewall surface used as mirrors for X-ray reflection. An intermediate mask is fabricated and used to obtain the working mask in order to avoid the UV exposure to a very thick photo resist layer. Around 400 μm-thick nickel MPO plate is obtained with the aspect ratio of the square pore and sidewall of 8 and 32, respectively. The root mean square roughness of the sidewall surface is below 10 nm in a 5 × 5 μm2 region. Some striations are found on the sidewall surface originating from the jagged edge of the chromium coating on the UV mask.  相似文献   

16.
Lithographie Galvanoformung Abformung (LIGA) is a promising approach for fabrication of high aspect ratio 3D microactuator for dual-stage slider in hard disk drive. However, this approach involves practically challenging X-ray lithography and structural transfer processes. In this work, electrostatic MEMS actuator is developed based on a LIGA approach with cost-effective X-ray lithography and dry-film-transfer-to-PCB process. X-ray lithography is performed with X-ray mask based on lift-off sputtered Pb film on mylar substrate and photoresist application using casting-polishing method. High quality and high aspect ratio SU8 microstructures with inverted microactuator pattern have been achieved with the interdigit spacing of ~5 μm, vertical sidewall and a high aspect ratio of 29 by X-ray lithography using the low-cost Pb based X-ray mask. A new dry-film-transfer-to-PCB is employed by using low-cost dry film photoresist to transfer electroplated nickel from surface-treated chromium-coated glass substrate to printed circuit board (PCB) substrate. The dry film is subsequently released everywhere except anchor contacts of the electrostatic actuator structure. The fabricated actuator exhibits good actuation performance with high displacement at moderate operating voltage and suitably high resonance frequency. Therefore, the proposed fabrication process is a promising alternative to realize low-cost MEMS microactuator for industrial applications.  相似文献   

17.
We demonstrate experimentally the X-ray lithography technique to fabricate microgratings on a PMMA plate and on curved surfaces such as PMMA cylinder lens surfaces with X-ray lithography by copper mesh as mask. Some gratings with 12.7 μm pitches on the plate and on PMMA curved surface with large area (10 mm × 10 mm) by vertically moving or rotating the resist stage exposure are realized.  相似文献   

18.
 In deep X-ray lithography synchrotron radiation is applied to pattern several hundred micrometer thick resist layers. This technique has been used to obtain micro structures with an aspect ratio up to 100 and dimensions in the micrometer range. The structures are characterised by straight walls and a typical sidewall roughness of approximately 50 nm. To be able to fabricate n-coherent structures with any lateral shape and to have the possibility to use these resist microstructures in an additional electroforming process the resist is usually mounted on a ceramic or metallic substrate. Due to the different thermal expansion coefficients of the resist material and the substrate a developing temperature of 37 °C produces cracks in the resist structures depending on the microstructure design. These defects are not observed if the developing temperature is reduced to 20 °C. Better structure quality is obtained using the GG-developer instead of MIBK/IPA, but the developing rate is decreased. Measurements of the developing rate of PMMA in GG-developer at different temperatures show that the contrast of the developer-resist system is increased at 20 °C compared to 37 °C. Received: 25 August 1997/Accepted: 3 September 1997  相似文献   

19.
Achenbach  S.  Hengsbach  S.  Schulz  J.  Mohr  J. 《Microsystem Technologies》2019,25(8):2975-2983

Deep X-ray lithography is a preferred fabrication approach for those micro devices that depend on smooth and vertical sidewalls of comparatively deep structures rather than extreme lateral resolution. The structure quality obtained depends on, and is limited by, the quality of the X-ray mask applied. A critical component of the mask is its absorber patterns. They get fabricated by electroplating into voids of a polymer template. These templates must usually be at least 3 μm deep and exhibit smooth and vertical sidewalls with a lateral resolution of micrometers and possibly below. Primary patterning of the templates is very demanding. Best results are obtained when dedicated electron beam writers with acceleration voltages of 100 kV and above are applied. This, however, limits access to patterning infrastructure and substantially drives delivery timeline and cost, making mask absorber template patterning a bottleneck of the entire process sequence. We propose, evaluate and optimize an alternative absorber patterning approach based on direct laser writing. An ultraviolet laser with 355 nm wavelength and 250 mW beam power by Heidelberg Instruments is applied to expose 2.9 μm thick, chemically amplified, high contrast, negative tone resist mrx-5. Exposure parameters analyzed include the dose and focal settings. Experiments are carried out on bare silicon wafers as well as on chrome-gold and on titanium oxide plating bases. For all cases, results with and without an additional antireflective coating of 200 nm AZ BAR-Li are studied. Aspects of the resist template structure quality analyzed include the sidewall verticality and its smoothness and defects, resist adhesion to the substrate, minimum feature size and structure accuracy, as well as irregularities due to stitching of partial layouts. In an optimized process, a dose of 14 mW on oxidized titanium and BAR-Li was used. We were able to demonstrate 1.5 μm minimum feature size of isolated structures and structural details of about 1 μm. The sidewalls are vertical and exhibit a roughness of dozens of nanometers. When an antireflective coating is used, chamfers are observed at the resist bottom. The structure accuracy occasionally deviates from the original layout by 200–300 nm, particularly at stitching singularities or towards the end of resist walls. The described absorber template patterning process delivers a resolution that much extends beyond previous UV patterning approaches. The structure accuracy, however, is inferior to electron beam written samples. Given the cost and timeline benefit, results of the study will allow users to identify which primary patterning approach is best suited for their micro devices.

  相似文献   

20.
This paper discusses the patterning of the burnishing head for hard disk platters on the AlTiC substrate, performed by X-ray exposure through X-ray mask to identify areas still left on the substrate after the photoresist development. The high energy and the low divergence of the synchrotron light provided the high-aspect-ratio microstructures with high accuracy patterns of burnishing head specifications. After the X-ray lithography was processed, the AlTiC substrate coated with the SU-8 hard mask was dry-etched with CF4 of the RIE machine and run under the various conditions of the industrial process. An appropriate condition based on a total of 10 experimental conditions, which were based on the CCD technique, was investigated at Minitab using the data collected for analysis and compared with the standard specification. Based on the standard specification of the critical dimension of 8.02 µm and the etched depth of 30.0 µm, the best condition for the experiment, calculated by the desirability approach, was the 250 µm-thick SU-8 photoresist with an exposure dose of 23,010 mJ/cm3 and an RIE etching time of about 20 h. Mathematically, this condition offers a critical dimension and an etched depth of 7.02 and 30.11 µm, respectively. When the actual experiment was conducted to confirm the results, and we found that the critical dimension and the etched depth showed values of 7.03 and 30.02 µm, respectively.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号