首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 101 毫秒
1.
EDA是现代电子数字系统设计的核心技术,其基于电子芯片设计自动化特征,可实现电路数字逻辑系统设计,简化电路硬件设计的结构,提高数字系统可靠性和灵活性。对EDA技术的特征进行分析,介绍EDA设计工具及技术要点,以基于EDA技术的数字系统实例分析为基础介绍EDA技术在数字系统设计中的具体应用,证明EDA技术在电子设计和数字逻辑系统设计中的实用性。  相似文献   

2.
在数字电子电路设计领域,引入和应用EDA技术已然成为一种趋势。本文首先介绍了EDA技术及其设计流程,然后讨论了EDA技术两个基本要点(可编程逻辑器件PLD、硬件描述语言VHDL),最后结合实例说明了EDA技术应用于数字电子电路设计的优势,以期为业内人士提供有益参考。  相似文献   

3.
首先阐述了EDA技术的基本概念和发展过程,然后从几个不同的方面介绍EDA的基本特征,最后着重分析EDA技术在设计过程中两个不同层次上的工作流程,即电路级设计和系统级设计,引入了一种自顶向下的高层次电子设计方法。  相似文献   

4.
文章介绍应用EDA技术实现计算机CPU的设计和微程序控制器设计的技巧与方法,阐述了采用EDA设计的特点,并对设计中的重难点进行了解析。  相似文献   

5.
本文主要研究数字电子技术实验中的EDA技术,首先分析EDA技术的优势,然后从使用EDA技术构建实验模块;EDA技术设计思路的输入、综合、适配;EDA技术设计思路的仿真和编程下载这些方面探讨在数字电子技术实验中EDA技术的应用,接着层层深入先探讨数字电子技术实验平台的构建,再研究第二代Quartus的显著特点,最后讨论EDA技术应用的实例。希望能为关注此话题的研究学者提供参考意见。  相似文献   

6.
许精明  陈小平 《计算机教育》2014,(2):102-105,110
近年来,EDA虚拟仪器、嵌入式产品设计等数字电路制造技术得到了迅速发展。EDA作为计算机辅助数字电路设计技术已成为一项重要的产品设计手段。文章在阐述EDA工具环境QuartusⅡ和VHDL编程的基础上,分析EDA的"概念驱动式"设计模式的优缺点、EDA实验项目内容的划分和FPGA与CPLD两种芯片的不同特性及EDA实验室的软硬件平台配置等,并对基于网络的现代EDA实验技术和EDA技术的发展前景进行展望。  相似文献   

7.
EDA技术综述   总被引:2,自引:1,他引:2  
EDA技术已成为现代系统设计和电子产品研制开发的有效工具,成为电子工程师应具备的基本能力,介绍EDA技术,可编程逻辑器件和硬件描述语言的基本概念,着重分析EDA技术的发展历程、主要内容和数字系统层次化设计方法及应用展望等.  相似文献   

8.
EDA技术是以计算机为主要工具,搭建EDA设计平台,通过使用硬件描述语言,完成现代电路与系统设计工作的一项技术。EDA技术,具有强大的仿真测试能力,通过仿真测试,即可完成电路和系统设计工作。所以,在未来的电路和系统设计工作中,EDA技术必将得到更多的重视和更深刻的发展。本文就EDA技术的优势,EDA技术在电路和系统设计中应用实践,展开讨论。  相似文献   

9.
本文通过分析PDM与EDA的集成需求和EDA的数据特点,以及航天科研院所设计图档管理要求,在总结AVIDM/Protel集成成功经验的基础上,提出了AVIDM与EDA集成的解决方案,为PDM与各种不同EDA软件集成提供了思路和技术途径。  相似文献   

10.
基于FPGA和电子设计自动化技术,采用模块化设计方法和VHDL语言,提出引入EDA技术到《计算机组成与结构》课程设计的实施方案和方法;并以16位CISC CPU设计为实例详细阐述了基于EDA的课程设计开发过程和设计分析过程。引入EDA技术的课程设计不仅加深了学生对计算机组成和工作原理的理解,而且提高了学生综合设计能力和创新能力,达到了课程设计教学的预期效果。  相似文献   

11.
EDA技术在数字系统设计分析中的应用   总被引:10,自引:9,他引:10  
符兴昌 《微计算机信息》2006,22(14):267-269
介绍EDA技术的特点,发展历程和发展趋势,以MAX+plusII开发系统为平台,以高密度可编程逻辑芯片CPLD为设计载体,采用层次化的设计方法,设计一个交通信号控制电路,从中可体现出数字系统的硬件设计向软件化方向发展的新思路。  相似文献   

12.
任明强  张平 《微机发展》1998,8(5):49-51
计算机技术和微电子工业的发展使电子系统的复杂度越来越高。在电子设计领域,产生了高层次的电子设计方法-EDA技术。本文简要介绍了EDA技术的发展过程,阐述了EDA技术在两个不同层次上的工作流程,即系统设计和电路设计,引入一种自上而下的高层次电子设计方法。  相似文献   

13.
Ralf Bruns  Jürgen Dunkel 《Software》2014,44(11):1395-1416
Recently, event processing (EP) has gained considerable attention as an individual discipline in computer science. From a software engineering perspective, EP systems still lack the maturity of well‐established software architectures. For the development of industrial EP systems, generally accepted software architectures based on proven design patterns and principles are still missing. In this article, we introduce a catalog of design patterns that supports the development of event‐driven architectures (EDAs) and complex EP systems. The design principles originate from experiences reported in publications as well as from our own experiences in building EP systems with industrial and academic partners. We present several patterns on different layers of abstractions that define the overall structure as well as the building blocks for EP systems. Architectural patterns that determine the top‐level structure of an EDA can be distinguished from design patterns that specify the basic mechanisms of EP. The practical application of the catalog of patterns is described by the pattern‐based design of a sample EDA for a sensor‐based energy control system. Finally, we propose a coherent and general reference architecture for EP derived from the proposed patterns.Copyright © 2013 John Wiley & Sons, Ltd.  相似文献   

14.
利用可编程逻辑器件(CPLD),以EDA工具为开发平台,运用VHDL语言,设计一个10K~9.9MHz的数字频率计.  相似文献   

15.
曹瑞 《微计算机信息》2007,23(20):273-275
随着科学研究与技术开发的市场化,采用传统的电子设计手段在较短的时间内完成复杂电子系统的设计,已经越来越难完成了.EDA (Electronics Design Automation)技术是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具.本文介绍了EDA技术的主要特点和功能,并对将EDA技术引入到数字电路设计的工作方案进行了探讨.  相似文献   

16.
基于SKILL的EDA系统二次开发技术探讨   总被引:1,自引:0,他引:1  
着重研究了基于SKILL语言的CadenceEDA系统二次开发技术,介绍了SKILL语言,对CadenceEDA设计库的概念和结构及以此为基础的设计库编程、器件生成、应用程序集成等相关技术进行了探讨,并在此基础上实现了一个集成电路查询系统。  相似文献   

17.
基于板极设计高速化,复杂化的发展趋势,缩短设计进入市场时间的方法无疑成为各个设计公司的焦点。现有的EDA工具可以帮助工程师在确定系统框架并完成原理图输入工作后进行电气规范规则等的检查来减少设计错误,但是EDA工具在硬件连接错误检查方面的功能有所欠缺。本文讨论了一种基于实用报表提取语言的数据库处理工具进行硬件连接检查的新方法的可行性以及优越性。  相似文献   

18.
提出了一种基于FPGA的单芯片驱动控制方案。它采用硬件模块化的现代EDA设计方法,使用VHDL硬件描述语言,实现了永磁同步电机矢量控制系统的设计。  相似文献   

19.
EDA技术在信息产业中起着举足轻重的作用。阐述了EDA技术的含义及特点,介绍了EDA技术的主要内容及设计流程,并从可编程逻辑器件使用的角度,论述了开发自主知识产权的EDA软件设计思想。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号