首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   99篇
  免费   12篇
  国内免费   1篇
电工技术   10篇
综合类   2篇
化学工业   3篇
金属工艺   11篇
机械仪表   5篇
建筑科学   1篇
轻工业   5篇
水利工程   3篇
石油天然气   1篇
无线电   34篇
一般工业技术   4篇
冶金工业   7篇
原子能技术   1篇
自动化技术   25篇
  2023年   1篇
  2015年   1篇
  2014年   3篇
  2013年   2篇
  2012年   5篇
  2011年   4篇
  2010年   8篇
  2009年   5篇
  2008年   4篇
  2007年   3篇
  2006年   5篇
  2005年   10篇
  2004年   2篇
  2003年   7篇
  2002年   12篇
  2001年   5篇
  2000年   11篇
  1999年   5篇
  1998年   2篇
  1997年   2篇
  1996年   6篇
  1995年   1篇
  1994年   1篇
  1993年   1篇
  1991年   1篇
  1986年   1篇
  1984年   2篇
  1982年   2篇
排序方式: 共有112条查询结果,搜索用时 31 毫秒
61.
基于预测误差分布特性统计分析的概率性短期负荷预测   总被引:10,自引:1,他引:9  
现有短期负荷预测方法一般只能给出确定性负荷预测结果,难以满足电力市场中不确定性风险分析决策的要求。文中提出了一种基于负荷预测误差特性的统计分析的概率性预测方法。该方法首先从时段与负荷水平2个联合维度上建立了对预测误差分布规律进行统计分析的模型,并提出了检验该统计规律有效性的原则和方法;将验证后的预测误差统计分布规律与确定性的负荷预测结果相结合,即可得到概率性的负荷预测结果。基于该结果,还能求取某一置信水平下的预测负荷曲线的包络线。结合实际电网数据验证了所提出方法的有效性和实用性,为概率性短期负荷预测提供了一条可行的新思路。  相似文献   
62.
数字式电子人工耳专用集成电路设计   总被引:2,自引:0,他引:2       下载免费PDF全文
本文设计了一个数字式电子人工耳专用植入芯片.芯片的刺激通道为17个,能提供多达4种刺激模式,并具有电源电压、电极间电阻以及电极间窜扰的实时测量能力,因而能够适应临床上研究各种不同的语音编码算法的需要.芯片内设有缓冲寄存器,能在进行当前帧刺激的同时接收下一帧数据,提高了刺激率.这是一块数模混合的芯片.工作电压12V,最大功耗<50mW,采用2μm N阱CMOS工艺制造,芯片面积20mm2.  相似文献   
63.
文章介绍了语音拨号器的性能与特点 ,给出了该系统的硬件设计方案 ;讨论了MCU与DSP、DSP与CODEC的接口技术 ;也给出了MCU与DSP通信的接收和发送时序图以及DSP实现的语音识别算法的流程图。  相似文献   
64.
监控系统的语音识别与语音提示   总被引:1,自引:0,他引:1  
介绍了一种采用语音识别和语音提示的监控系统。给出了系统硬件框图,并对各部门分功能进行了阐述;重点论述了各部分电路设计、工作原理及语音提示部分电路和通讯接口电路的软件设计思想,并给出主程序流程图。  相似文献   
65.
刘润生  李晗 《电气应用》2007,26(10):110-113
通过对北京电网运行方式、电网无功电压、设备运行情况的分析,结合电网无功策略方面相关文献和技术论文,提出了当前北京电网无功平衡和电压控制方面存在的问题和对策,并提出了北京电网的无功平衡策略及电网完善无功平衡策略的具体措施.  相似文献   
66.
介绍我国牛肉从养殖、屠宰、分割、加工到保藏的质量安全可追溯系统的研究现状,以及该系统中识别技术、网络技术、数据库、查询方式等关键技术的开发应用现状,并指出牛肉质量安全可追溯系统在我国扩大建立和完善的紧迫性和广阔的发展前景。  相似文献   
67.
耿慧  梁维谦  董明  刘润生 《电声技术》2009,33(10):32-34,38
基于Actel CoreMP7低成本SoC开发验证平台,完成了以ARM7为核心控制器、马氏距离计算专用电路(MSAC)为协处理器的语音识别SoC的设计与验证。实验结果表明,该SoC系统在Actel ProASIC系列FPGA M7A3P1000上综合实现后,约占用M7A3P1000总资源的39.18%及1KB片内SRAM,完成整个语音识别算法性能比S3C44BOx(ARM7)平台上定点C程序提高了49.78%,充分证明了CoreMP7平台用于SoC设计的可行性和便捷性,以及主处理器配合硬件加速协处理器架构在信号处理领域的优势。  相似文献   
68.
介绍了实现具有发音评测功能的嵌入式英语学习机的软硬件系统设计.系统硬件上采用32 bit RISCMPU:S3C44BOX 16 bit DSP:UniLite的结构,使该系统具有低成本、高性能的优势.软件平台采用μC/OS-Ⅱ μC/GUI.使该学习机具有较好的人机交互性和应用扩展性.采用基于CHMM的模型算法以实现发音评测功能.  相似文献   
69.
基于对计算听觉场景分析(Computational Auditory Scene Analysis,CASA)算法思想的研究,提出了一种单通道语音增强方法。通过分析白噪声、风噪声、周期性噪声三类典型噪声和一般语音信号的频谱特点,构造适合的信号提取特征作为线索,判别出信号时频单元中的主要信号成分,然后对各时频单元乘以相应的衰减系数以掩蔽噪声成分。对仿真实验结果的客观测试和非正式听音测试表明,相对于常用的多子带谱减法和维纳滤波法,所提出的算法能够更有效地抑制白噪声、风噪声、周期性噪声等背景噪声。  相似文献   
70.
应用于语音识别片上系统的语音检测算法   总被引:2,自引:0,他引:2  
语音识别技术的研究已经进入实用化阶段,而实用化语音识别系统中的一个关键技术就是可靠的语音检测。本文提出了一种基于有限状态机模型的实时语音检测算法(FSM-SD)。采用对数最大似然判决帧能量检测器和过零率检测器控制各状态之间的跳转关系。针对语音识别中的MFCC(Mel频标倒谱系数)和LPCC(线性预测倒谱参数)特征提取过程,分别得到两种不同的帧能量计算方法。将FSM-SD应用到在OAK DSP上实现的小词表汉语语音识别系统,通过实验验证了其对系统识别性能和噪声稳健性的有效保证。  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号