首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   31篇
  免费   1篇
电工技术   1篇
综合类   1篇
化学工业   1篇
无线电   15篇
自动化技术   14篇
  2019年   2篇
  2017年   1篇
  2016年   1篇
  2015年   1篇
  2013年   3篇
  2012年   2篇
  2010年   1篇
  2009年   1篇
  2007年   2篇
  2005年   3篇
  2004年   5篇
  2003年   2篇
  2002年   2篇
  2001年   2篇
  2000年   1篇
  1997年   1篇
  1996年   2篇
排序方式: 共有32条查询结果,搜索用时 31 毫秒
1.
研究步进电机优化控制问题,步进电机控制系统存在着控制功能单一和控制精度不高的缺陷。针对上述问题,提出了一种用全数字锁相环的控制系统设计方案。锁相环能够在较大的频率范围内快速跟踪和锁定输入信号的频率和相位,应用脉冲分配控制器,可提高整个系统的同步性能,增强步进脉冲信号频率的稳定性。采用超高速集成电路硬件描述语言(VHDL)进行电路系统设计,利用计算机仿真技术对该系统进行了仿真验证,并给出了布局布线后时序仿真的结果。仿真结果表明,该系统具有控制灵活、响应速度快、稳定性能好等特点,改进的设计方案可实现对步进电机转速、转向和定位的一体化控制,能够显著提高系统的控制精度,并可应用于其它不同工作方式的步进电机控制系统设计。  相似文献   
2.
基于FPGA的线性可变码位控制全数字锁相环的设计与仿真   总被引:3,自引:0,他引:3  
单长虹  邓国扬  孟宪元 《计算机仿真》2003,20(2):111-113,74
线性可变码位控制全数字锁相环(LVBC-DPLL)具有环路捕捉时间快的特点,该文介绍了以EDA技术作为开发手段的LVBC-DPLL的设计与实现,并分析了系统的稳态性能及仿真结果。  相似文献   
3.
单片机在离心机上的应用   总被引:1,自引:0,他引:1  
介绍了单片机在离心机工序定时和顺序循环控制中的应用,以及系统的硬件电路结构和软件特点。本系统定时精确,控制性能可靠,操作方便,经实际生产检验效果很好。  相似文献   
4.
基于可编程器件的模糊控制器   总被引:2,自引:0,他引:2  
介绍了用硬件描述语言(VHDL)设计的一种新型的模糊控制系统,这种模糊控制器具有快速、修改方便的特点,给出了设计的框图和有关程序,并用FPGA来实现。  相似文献   
5.
为了提高全数字锁相环的系统运行速度、降低系统功耗,同时提高锁相系统的动态性能与稳态性能,提出一种基于流水线技术的全数字锁相环。采用电子设计自动化技术完成了该系统的设计,并对所设计的电路进行了计算机仿真与分析。仿真结果证明,该锁相环中数字滤波器的参数能够根据相位误差的大小进行动态调节,既可加快锁相速度,又能增强系统的稳定性。利用流水线技术优化的整体电路能够减小系统延迟,降低系统总功耗。该锁相环可作为功能模块嵌入到片上系统,具有十分广泛的用途。  相似文献   
6.
针对传统锁相环研究中电路结构复杂、鉴相精度不高、锁相范围窄等问题,提出一种新型全数字锁相环。与传统锁相环相比,鉴相模块中的时间数字转换电路能将鉴相误差转换为高精度数字信号,一种双边沿触发的数字环路滤波器取代了传统的数字环路滤波器的电路结构,采用可变模分频器来替换传统的固定模分频器。应用EDA技术完成了系统设计,并采用QuartusⅡ软件进行了系统仿真验证。仿真结果表明:该锁相环锁相范围约为800 Hz~1 MHz,系统锁定时间最快为10个左右输入信号周期,且具有锁相范围大、精度高、电路结构简单和易于集成等特点。  相似文献   
7.
提出一种设计全数字锁相环的新方法,采用基于PI控制算法的环路滤波器,在分析模拟锁相环系统的数学模型的基础上,建立了带宽自适应全数字锁相环的数学模型。使用DSP Builder在Matlab/Simulink环境下搭建系统模型,并采用FPGA实现了硬件电路。软件仿真和硬件测试的结果证明了该设计的正确性和易实现性。该锁相环具有锁频速度快、频率跟踪范围宽的特点。同时,系统设计表明基于DSP Builder的设计方法可缩短设计周期,提高设计的灵活性。  相似文献   
8.
基于FPGA的高阶全数字锁相环的设计与实现   总被引:2,自引:0,他引:2  
提出了一种实现高阶全数字锁相环的新方法。该锁相环以数字比例积分控制取代了传统的一些数字环路滤波控制方法,具有电路结构简单、摔制灵活、跟踪精度高、环路性能好和易于集成的特点。文中介绍了该高阶全数字锁相环的系统结构和工作原理,对其性能进行了理论分析和计算机仿真。应用EDA技术设计了该系统,并用FPGA实现了其硬件电路。仿真和硬件测试结果证实了该设计的正确性。  相似文献   
9.
基于VHDL语言的快速查表电路   总被引:1,自引:0,他引:1  
介绍了用超高速集成电路硬件描述语言(VHDL),设计数字集成系统中快速查表电路的方案,这种查表算法具有并行运行的特点,并能够同时查找多种参数.该查表电路用FPGA予以实现.  相似文献   
10.
基于FPGA的通用型自动配料控制系统的设计   总被引:3,自引:1,他引:2  
介绍了基于FPGA的通用型自动配料控制系统的设计和工程实现过程;设计采用了可编程逻辑器件FPGA,并基于超高速硬件描述语言VHDL在Xilinx公司的SpartanⅡ系列的XC2S100ePQ208-6芯片上编程实现;该系统由控制器、存储器、分频器、计数器、串口通讯、微型打印机及显示译码器组成;该设计可以完成人为设定参数,由控制系统调用运行,并可完成手动及自动配料,并在自动配料后对各个数据进行统计,产生相应的各种报表.  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号