首页 | 本学科首页   官方微博 | 高级检索  
     

高性能浮点除法和开方的设计与实现
引用本文:洪琪,赵志伟,何敏.高性能浮点除法和开方的设计与实现[J].计算机工程,2013(12):264-268.
作者姓名:洪琪  赵志伟  何敏
作者单位:安徽大学电子信息工程学院,合肥230601
基金项目:国家“863”计划基金资助项目(2009AA012201)
摘    要:在基于现场可编程门阵列(FPGA)的设计中,低延时、高吞吐量、小面积是3个主要考虑因素。针对以上因素,提出不同基数SRT浮点除法和开方算法,设计基于Virtex—IIproFPGA的可变位宽浮点除法和开方的3种实现方案,包括小面积的迭代实现、低延时的阵列实现和高吞吐量的流水实现。实验结果表明,对于浮点除法和开方算法的流水实现,在综合面积符合要求的基础上,实现频率最高分别可达到180MHz和200MHz以上,证明了该实现方案的有效I陛。

关 键 词:SRT算法  选择函数  可变位宽  浮点除法  开方  迭代实现  阵列实现  流水实现  资源消耗

Design and Implementation of High-performance Float-point Division and Square Root
HONG Qi,ZHAO Zhi-wei,HE Min.Design and Implementation of High-performance Float-point Division and Square Root[J].Computer Engineering,2013(12):264-268.
Authors:HONG Qi  ZHAO Zhi-wei  HE Min
Affiliation:(School of Electronics and Information Engineering, Anhui University, Hefei 230601, China)
Abstract:Low latency, high throughput and small area are three major design considerations of a design based on FPGA. In view of the above factors, this paper puts forward different cardinal SRT float-point division and square root algorithm. It designs three implementations of float-point division and square root operations with variable width based on Virtex-II pro FPGA. One is a low cost iterative implementation, another is a low latency array implementation, and the third is a high throughput pipelined implementation. Experimental results show that the highest frequencies for the float-point division and square root algorithm can reach above 180 MHz and 200 MHz respectively with meeting the needs of synthesized area. it fully verifies the effectiveness of the implementation plan.
Keywords:SRT algorithm  selection function  variable width  float-point division/square root  iterative implementation  arrayimplementation  pipelined implementation  resource consumption
本文献已被 维普 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号