首页 | 本学科首页   官方微博 | 高级检索  
     

基于存储资源迭代重用的低成本寄存器重命名方法
引用本文:鄢传钦,孟建熠.基于存储资源迭代重用的低成本寄存器重命名方法[J].传感器与微系统,2012,31(4):67-69,74.
作者姓名:鄢传钦  孟建熠
作者单位:浙江大学超大规模集成电路设计研究所,浙江杭州,310027
摘    要:针对超标量深流水线中物理寄存器资源冲突造成的流水线阻塞问题,提出了一种多指令共享同一物理寄存器资源的非阻塞指令发射方法。该方法可在物理寄存器资源冲突下继续分配物理寄存器,利用发射缓冲队列临时缓冲冲突的指令,增加发射流水级实际可分配的物理寄存器数量,释放发射窗口,提高物理寄存器使用的并行性。实验结果表明:相对于传统重命名方法,该方法可减少27.3%的物理寄存器资源实现传统方法相同的性能。

关 键 词:寄存器重命名  乱序发射  乱序执行

A low cost register renaming method based on iterative reuse of storage resource
YAN Chuan-qin , MENG Jian-yi.A low cost register renaming method based on iterative reuse of storage resource[J].Transducer and Microsystem Technology,2012,31(4):67-69,74.
Authors:YAN Chuan-qin  MENG Jian-yi
Affiliation:(Institute of VLSI Design,Zhejiang University,Hangzhou 310027,China)
Abstract:In order to solve the pipeline stall caused by the physical register source conflict in deep pipeline superscalar processor,a non-blocking instruction issue method by sharing one physical register to multi-instructions is presented.This method continues to distribute physical register even when the physical register source conflict happens by using the instruction issue queue to buffer the conflicting instructions and increasing the amount of physical registers that can be distributed.By using this method,the processor releases the issue window and improves the using parallelism of physical register.Experimental results show that compared with the traditional renaming method,to achieve the same performance,iteratively reusing method saves 27.3 % of the physical register resources.
Keywords:register renaming  out-of-order issue  out-of-order execution
本文献已被 CNKI 万方数据 等数据库收录!
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号