首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 203 毫秒
1.
巩峰  李晃  陈彦化 《电子科技》2014,27(7):26-29
为满足现代工业发展对系统设备集成化、网络化的需求,文中探讨了基于SOPC的千兆以太网实现方法。采用Altera公司的CycloneIII系列FPGA作为平台,在其中搭建了NiosII软核处理器。并利用Altera提供的三速以太网的IP核,实现了千兆网的MAC层控制器。同时通过UDP协议实现了数据的传输。  相似文献   

2.
本文介绍了一种8位高速微控制器IP软核的设计,该IP采用哈佛总线和二级流水线,指令集与PIC16F676兼容。本文按照自顶而下的设计流程,首先定义了该MCUIP核的顶层功能和体系结构,然后对各单元模块进行了详细的设计,讲述了IP软核的设计方法及其仿真验证,并对该微控制器的读/写时序进行了分析。  相似文献   

3.
设计并实现了一种针对多聚焦图像融合算法的图像融合IP软核。在ISE环境下实现了图像融合IP软核的Verilog语言描述,之后进行了测试与评估。将融合结果与Matlab处理结果进行对比,验证了文中设计IP软核的准确性。该图像融合IP软核设计方法为其他图像融合算法的IP软核设计建立了基础。  相似文献   

4.
千兆以太网接口已经成为高性能嵌入式处理器So C最重要的通信接口。在一款处理器So C的双通道以太网MAC设计时,由于处理器采用AMBA总线且管脚资源有限,直接复用已有IP核存在总线协议不兼容、引脚数目太多等问题。文章提出一种基于AMBA协议的双通道以太网MAC架构,通过设计RGMII接口单元,实现双通道以太网MAC接口的引脚复用,有效地降低了芯片管脚数目,同时通过设计PLB2AHB协议转换单元,实现通信数据AHB总线与PLB总线之间协议转换及以太网DMA功能。最后,本文通过搭建So C系统验证环境,对设计实现后的双通道以太网MAC在不同场景下的功能进行功能验证,结果表明双通道以太网MAC能够以10/100/1000Mbps的速率实现双通道RGMII半双工/全双工通信,满足设计要求。  相似文献   

5.
基于千兆以太网的高速数据传输系统设计   总被引:1,自引:0,他引:1  
张诚  罗丰 《电子科技》2011,24(1):44-46
介绍了千兆以太网接口以及TCP/IP协议,提出了几种设计方案,讲述了一种使用FPGA和MAC软核建立千兆以太网的方法。实验证明,这种方法稳定性好、传输带宽高、额外成本低,适用于大多数高速数据传输系统,是一种成本低、性能优越、可靠性高的高速数据传输系统设计方案。  相似文献   

6.
蔡宇  张浩  罗飞  贺光辉  周祖成 《半导体技术》2005,30(3):50-53,61
研究了无线局域网网卡的实现框架.整个网卡是一个嵌入式实时系统,主要涉及MAC控制器等.它建立于SOC的基础之上,在Xilinx的EDK工具下进行软硬件的协同设计.其硬件实现包括32位的MicroBlaze软核,通用IP和一些用户定制的IP,以及它们总线连接实现.  相似文献   

7.
基于MicroBlaze的振动信号采集系统的设计与实现   总被引:1,自引:0,他引:1  
为确保发电机组设备稳定运营,分析了振动监测系统的作用并提出了一种基于FPGA嵌入式系统实现数据采集与以太网传输的方案.该方案由FPGA控制多片AD进行同步数据采集与存储,并嵌入高性能的MicroBlaze软核处理器来控制整个系统,使用lwIP实现TCP/IP协议栈,通过以太网控制器将振动信号数据传给远程PC工作站,以1块FPGA为核心完成整个下位机系统的设计.给出了系统的主要模块设计实现方案,通过现场长时间稳定运行证明了这种简化结构设计的可行性与实用性.  相似文献   

8.
设计并实现了USB1.1器件IP软核、固核和硬核。详细介绍了USB IP软核的设计和验证技术以及基于0.18μm标准单元的固核与硬核的实现方法。为了提高USB IP的可重用性,引入了总线适配器和可配置总线接口IP核的概念,设计了三种总线适配器。对USB IP核的可配置端点数及基于FPGA的三种总线适配器进行了性能分析和评价。  相似文献   

9.
阐述了以太网控制器AM79C874的应用———高速以太网控制器MAC(媒体访问控制)层的设计与实现。介绍了该芯片的主要性能,以及以太网帧结构、CRC(循环冗余校验)-32的实现和载波侦听协议。给出了系统设计硬件电路以及部分软件源代码。在FPGA内实现对AM79C874初始化与控制,并实现了简化的TCP/IP协议,使该嵌入式设备成功地与PC机互连。  相似文献   

10.
文章提出一种基于IEEE 802.3ah的以太网无源光网络(EPON)用户端专用芯片设计方案,融合现场可编程门阵列(FPGA)与IP软核技术实现了光网络单元(ONU)的功能.文中阐述了专用芯片的硬件结构,给出了IP软核设计的整体思路;介绍了专用芯片的测试方法,并从多角度对测试结果进行了论证.结果表明,芯片完全能够满足实际使用要求.  相似文献   

11.
为了解决大数据量长距离传输的稳定性和传输速率的问题,采用复杂可编程芯片FPGA设计出千兆以太网传输系统。为了简化设计,采用MAC+PHY方法实现以太网帧的封装及传输,MAC采用Virtex-4的嵌入式以太网IP核实现,PHY采用MARVELL公司的88E1111芯片实现,两芯片接口采用GMII连接模式。实验仿真结果表明,该传输系统能支持1 000 Mbit/s传输速率,该设计方案是可行的,有一定的实用价值。  相似文献   

12.
以太网技术的高速发展,使得利用以太网进行通信和数据交换已成为当今社会通信的潮流和方向。在很多以太网产品设计中都需要设计以太网接口电路,本文介绍了一种基于FPGA的10/100Mb/s以太网接口收发器的IP核设计。该IP核可工作于MII/RMII/SMII模式,通过FPGA验证可作为独立模块应用于相关以太网芯片设计中。  相似文献   

13.
This paper presents the design and development of a new network virtualization scheme to support multitenant datacenter networking (MT‐DCN) based on software‐defined networking (SDN) technologies. Effective multitenancy supports are essential and challenging for datacenter networking designs. In this study, we propose a new network virtualization architecture framework for efficient packet forwarding in MT‐DCN. Traditionally, an internet host uses IP addresses for both host identification and location information, which causes mobile IP problems whenever the host is moved from one IP subnet to another. Unfortunately, virtual machine (VM) mobility is inevitable for cloud computing in datacenters for reasons such as server consolidation and network traffic flow optimization. To solve the problems, we decouple VM identification and location information with two independent values neither by IP addresses. We redefine the semantics of Ethernet MAC address to embed tenant ID information to the MAC address field without violating its original functionality. We also replace traditional Layer2/Layer3 two‐stage routing schemes (MAC/IP) with an all‐Layer2 packet forwarding mechanism that combines MAC addresses (for VM identification and forwarding in local server groups under an edge switch gateway) and multiprotocol label switching (MPLS) labels (for packet transportation between edge switch gateways across the core label switching network connecting all the edge gateways). To accommodate conventional IP packet architecture in a multitenant environment, SDN (OpenFlow) technology is used to handle all this complex network traffics. We verified the design concepts by a simple system prototype in which all the major system components were implemented. Based on the prototype system, we evaluated packet forwarding efficiency under the proposed network architecture and compared it with conventional IP subnet routing approaches. We also evaluated the incurred packet processing overhead caused by each of the packet routing components.  相似文献   

14.
为了实现对温湿度数据的实时远程监测,提出了基于SOPC与嵌入式以太网接口的温湿度监测系统设计方案,本系统充分利用了 SOPC系统资源的丰富性,在QuartusⅡ软件的Qsys中构建以NiosⅡ软核CPU和所需外设IP为核心的硬件架构,通过移植μC/OSⅡ操作系统作为软件平台,以LwIP为网络通信协议,采用LAN91C111与FPGA相结合构成嵌入式以太网接口进行对温湿度数据的传输和控制。由于其具有设计灵活、可扩展升级、占用资源少、节约成本等优势,大幅简化了系统的电路结构,使设计变的简单,易于实现。  相似文献   

15.
基于MPSoC的以太网接口设计与实现   总被引:1,自引:0,他引:1  
李桦林  宋同晶  赵成伟 《电子科技》2011,24(12):106-108,132
研究了以太网在多核系统中的数据通讯,设计了以太网IP核到MPSoC网络资源的硬件接口。阐述了设计中各模块的实现功能和设计方法,通过仿真和FPGA验证结果表明,以太网接口数据通讯具有实时和高吞吐率。实现了多核系统与网络数据的信息传递,硬件设计结构简单、性能稳定可靠  相似文献   

16.
提出了一种利用现有的千兆以太网卡,设计和实现光突发交换网络(OBS)边缘节点功能的方法。在IP层和数据链路层之间加入OBS层,可实现对数据包进行组装、排队、调度和发送等OBS边缘节点的基本功能。通过修改Linux操作系统的内核,实现了满足不同业务最大时延和最大包长限制的组装过程,以及采用包分割算法的调度过程。  相似文献   

17.
现场可编程门阵列(FPGA)是一种应用灵活的电子器件。以Xilinx公司的FPGA为目标器件,利用Xilinx公司的Microblaze微处理器软核,采用基于FPGA的嵌入式系统设计的方法,对构建基于Xilinx FPGA的以太网数据通信系统进行了研究,给出一种不同以往的在FPGA下实现全部以太网的方案。给出了系统的软硬件各个模块以及整体设计的实现,最后通过一个简单的远程控制实例介绍了其工作流程。  相似文献   

18.
将光纤通道各类端口中相同的帧收发功能统一设计为一个链路层模块并以IP核的形式实现,可达到资源可重用的目的,这为以后的芯片开发大大节省了设计时间和成本.以模块化的方式采用自顶向下的设计思路,重点阐述了光纤通道链路层的硬件设计方法,并给出了IP核的相关接口.  相似文献   

19.
在含有FPGA的数字信号处理电路和控制电路中,为了实现将原始AD采样数据或中间处理结果数据的导出,供后续分析处理使用,从数据传输的稳定性、系统实现的简易性、价格低廉等角度出发,研究设计了基于FPGA TSE IP核的嵌入式百兆以太网数据传输系统。首先,详细分析了以NiosII CPU软核处理器为核心的以太网数传系统的SOPC各模块的硬件设计,主要包括以TES IP核为主的以太网MAC,采用乒乓缓存方式保证数据的连续不间断传输,以及通过接收客户端指令来控制数传的开始和暂停;然后,利用MicroC/OS-II嵌入式实时操作系统的多任务方式,基于Niche stack TCP/IP协议栈,完成了系统的软件设计,并给出了软件程序流程;最后,通过传输并接收特定的数据,验证了系统数据传输的速率和准确性。结果表明在传输速率达到51 Mbps时,系统稳定可靠。  相似文献   

20.
以太网IEEE802.3协议根据LAN的特点,把数据链路层分成LLC(逻辑链路控制)和MAC(介质访问控制)两个子层.MAC层协议作为数据帧收发的基础,是以太网技术的核心,主要负责上层数据和物理层的数据流量控制和数据流的检测、校验工作.介绍了基于FPGA的10MHz/100MHz以太网MAC控制器的设计,整个设计用Verilog语言实现.自主设计开发验证板,使用Altera厂商的FPGA(EP1C20F400C8)并验证.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号