首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 821 毫秒
1.
为精准快速地获得GPU功耗数据,提出一种基于硬件性能计数事件的通用图形处理器(GPGPU)功耗估算方法。通过分析GPGPU程序运行时的功耗分布情况,选择一组与应用程序运行功耗密切相关的硬件性能计数事件集合,使用反向传播人工神经网络分析硬件性能计数事件与实时功耗间的关系,最终建立GPGPU功耗估算模型。实验结果表明,与多元线性回归的功耗估算模型相比,该模型具有更高的估算准确性和通用性。  相似文献   

2.
对计算机系统运行时功耗值的实时获取,是进行功耗动态管理的先决条件.性能计数器能够准确地反映各硬件部件的使用情况,进而反映其功耗情况.文中提出了一种基于细粒度性能计数器建立系统实时功耗模型的方法(SysPower),该方法由功耗模型设定、性能计数器选取、数据采样、模型求解、结果检验五个步骤组成,基本思路是:选取反映处理器、内存、I/O等主要部件运行状态的细粒度性能计数器作为预选特征值集合(Fpreslct),通过实验建立该集合与运行时系统总功耗的对应关系,采用多元线性回归法进行模型求解,得到各性能计数器对功耗的影响系数,从而建立系统的实时功耗模型,并得到对该系统运行时功耗产生实际影响的有效性能特征值集合(Feffect).在三个不同平台上的实验表明,该方法建立的功耗模型最大误差小于4%,针对每个平台使用三组不同的采样数据组合进行模型求解,得到的有效特征集合完全一致,证明了模型的稳定性.最后,综合三个平台的实验情况,给出了一个包含70个元素的性能计数器集合,作为该方法的缺省特征值集合(Fcommon_effect).  相似文献   

3.
刘辛  沈立  苏博  王志英 《软件学报》2015,26(7):1840-1852
精确的功耗估算能够为操作系统调度、软/硬件能效优化提供有效的指导.以往的研究表明:通过监测处理器内部相关硬件事件(如提交的指令数、Cache访问次数等),可以对功耗进行估算.但是,已有的相关功耗模型的精度并不理想,误差通常在5%以上.通过分析处理器提供的硬件事件,并在众多事件中筛选出一组与程序运行功耗密切相关的事件,使用逐步多元线性回归分析,建立了一个与应用无关的实时功耗估算模型,该模型可以直接移植到支持SMT的平台上.通过PARSEC和SPLASH2两个基准测试程序集进行了验证,估算误差分别为3.01%和1.99%.针对建模耗时长的问题,提出了基于两阶聚类的优化改进方法.所提出的估算模型能为构建具有动态平衡功耗和平滑峰值功耗的智能功耗感知系统提供借鉴.  相似文献   

4.
近年来,Wi-Fi 感知凭借低成本、非接触、不受光照影响、隐私性好等优势,成为人机交互的新兴研究方向。为了提高室内定位技术的精度,本文提出了一种基于信道状态信息(Channel State Information,CSI)的加权混合回归(Weighted Mixed Regression, WMR)室内定位算法WMR_SKR。该方法分为离线训练和在线预测两个阶段。离线阶段单独训练支持向量回归(Support Vector Regression, SVR)和K近邻回归(K-Nearest Neighbor Regression, KNR)模型,并获得最优的权重分配,建立加权混合回归模型WMR_SKR。在线阶段通过WMR_SKR模型实时预测目标的位置。实验结果表明,本文的WMR_SKR模型在视距环境中82%的概率下定位精度能够达到1m,非视距环境中80.6%的概率下达到1.5 m,且平均误差和标准误差均小于1.5m。WMR_SKR模型有效融合了SVR和KNR的优点,提高了室内定位技术的性能。  相似文献   

5.
王海峰  陈庆奎 《软件学报》2013,24(8):1746-1760
随着图形处理器通用计算的发展,GPU(graphics processing unit)通用计算程序功耗的度量与优化成为绿色计算领域中的一个基础问题。当前,GPU 计算能耗评测主要通过硬件来实现,而开发人员无法在编译之前了解应用程序能耗,难以实现能耗约束下的代码优化与重构。为了解决开发人员评估应用程序能耗的问题,提出了针对应用程序源代码的静态功耗预测模型,根据分支结构的疏密程度以及静态程序切片技术,分别建立分支稀疏和稠密两类应用程序的功耗预测模型。程序切片是介于指令与函数之间的度量粒度,在分析GPU应用程序时具有较强的理论支持和可行性。用非线性回归和小波神经网络建立两种切片功耗模型。针对特定 GPU 非线性回归模型的准确性较好。小波神经网络预测模型适合各种体系的 GPU,具有较好的通用性。对应用程序分支结构进行分析后,为分支稀疏程序提供加权功率统计模型,以保证功耗评估算法的效率。分支稠密程序则采用基于执行路径概率的功耗预测法,以提高预测模型的准确性。实验结果表明,两种预测模型及算法能够有效评估 GPU 通用计算程序的功耗,模型预测值与实际测量值的相对误差低于6%。  相似文献   

6.
按照可重配置处理器的体系结构建立并实现功耗模型;模型对处理器的电路级特性进行抽象,基于体系结构级属性和工艺参数进行静态峰值功耗估算,基于性能模拟器进行动态功耗统计,并实现三种条件时钟下的门控技术;可重配置处理器与超标量通用微处理器相比,在性能方面获得的平均加速比为3.59,而在功耗方面的平均增长率仅为1.48;通过实验还说明采用简单的CC1门控技术能有效地降低可重配置系统的功耗和硬件复杂度;该模型为可重配置处理器低功耗设计和编译器级低功耗优化研究奠定了基础。  相似文献   

7.
陈俊  张文光 《测控技术》2016,35(4):89-93
随着当前云计算技术的飞速发展,云计算平台资源池规模亦不断膨胀,带来了高功耗问题.云计算平台的功耗优化已成为业界关注的焦点.针对IPv4/IPv6异构网络进行云计算平台资源池功耗度量研究,分析CPU功耗变化与CPU计算密度关系结合线性回归方法建立数学模型,针对数据关系确定数学模型参数值,并依据实验结果分析了实验数据,进一步验证了模型的正确性,该模型的建立为云计算平台功耗优化提供理论依据.  相似文献   

8.
在大规模集成电路芯片的可靠性分析和性能评估中,功耗估算起着重要的作用,文中提出基于ATPG的最大功耗估算改进算法,通过对电路充放电节点分配信号翻转,使电路工作时的动态功耗最大化;研究了路径搜索空间与功耗估值的关系,减少了路径搜索的开销,加快了估算时间;同时将算法扩展到同步时序电路。  相似文献   

9.
随着超大规模集成电路设计复杂度日益增加,工艺参数变动对电路性能(如速度和功耗)的影响越来越大.文中建立了一个层次化电路时延和漏功耗分析模型;将海森矩阵的概念引人到二次模型中,并建立改进的二次模型;将电路的时延和漏功耗的对数统一用改进的二次模型拟合;将该模型应用于层次化电路时延和漏功耗分析.提出一种新颖的基于相关系数-海森矩阵的面向性能的参数约简方法,减小了计算规模,既考虑了工艺参数之间的依赖关系,又考虑到它们与高层次之间的关系,从而提高了性能预算的精确度.实验结果表明了该方法的有效性和精确性.  相似文献   

10.
国冰磊  于炯  廖彬  杨德先 《计算机应用》2015,35(12):3362-3367
为构建节能的绿色数据库,提出一种基于结构化查询语言(SQL)资源(中央处理单元(CPU)、磁盘)消耗的最小单位的数据库动态能耗模型。该模型对系统动态能耗进行解析,将系统主要硬件(CPU、磁盘)的资源消耗映射成功率消耗,采用多元线性回归方法拟合模型关键参数,实时地估算系统动态功率,构建单位统一的动态功耗模型。实验结果表明,相比基于元组总数的模型,CPU指令总数能更好地反映CPU的功率消耗,所构模型在数据库管理系统(DBMS)独占系统资源的静态环境下,平均相对误差小于6%,绝对误差不超过9%。该动态功耗模型更适合于构建节能的绿色数据库。  相似文献   

11.
理解和优化智能手机的功耗已成为一个重要的研究领域,软件和硬件开发人员均需要一个动态的功耗评估工具来指导功耗优化,从而开发低功耗的应用程序和构建省电的系统.现有的工作已经提出多种功耗模型来评估功耗,但这些模型缺乏细化粒度和精确度.提出基于硬件使用率和延时功耗的智能手机功耗模型,细化了模型的硬件组件,加入了延时功耗,能够更加精确地评估实时功耗.该模型基于非线性回归结构,通过模块化目标设备的各个系统变量来确定模型,然后通过功耗测试用例测试进行模型辨识,确定各个功耗相关系数,最终将评估功耗与功耗测量设备实测数据进行对比.实验结果表明,在常用场景下,模型的平均绝对误差均小于4.6%,明显提高了模型精度.  相似文献   

12.
The unprecedented burst in power consumption encountered by contemporary datacenters continually boosts the development of energy efficient techniques from both hardware and software perspectives to alleviate the energy problem. The most widely adopted power saving solutions in datacenters that deliver cloud computing services are power capping and VM consolidation. However, without the capability to track the VM power usage precisely, the combined effect of the above two techniques could cause severe performance degradation to the consolidated VMs, thus violating the user service level agreements. In this paper, we propose an integrated VM power model called iMeter, which overcomes the drawbacks of overpresumption and overapproximation in segregated power models used in previous studies. We leverage the kernel-based performance counters that provide accurate performance statistics as well as high portability across heterogeneous platforms to build the VM power model. Principal component analysis is applied to identify performance counters that show strong impact on the VM power consumption with mathematical confidence. We also present a brief interpretation of the first four selected principal components on their indications of VM power consumption. We demonstrate that our approach is independent of underlying hardware and virtualization configurations with clustering analysis. We utilize the support vector regression to build the VM power model predicting the power consumption of both a single VM and multiple consolidated VMs running various workloads. The experimental results show that our model is able to predict the instantaneous VM power usage with an average error of 5% and 4.7% respectively against the actual power measurement.  相似文献   

13.
一种新的CMOS组合电路最大功耗快速模拟方法   总被引:2,自引:1,他引:1  
过大的峰值功耗会使芯片承受过大的瞬间电流冲击,降低芯片的可靠性及性能,因此有效地对电路最大功耗做出精确的估计非常重要。由于在实际电路中存在的时间延迟,而考虑延时的电路功耗模型计算量较大,因此用模拟方法求取电路最大功耗非常耗时。为了在尽可能短的时间内对VLSI电路的最大功耗做出较为可信的估计,首次提出了二阶段模拟加速方法。对ISCAS85电路集的实验结果表明,这种估计方法具有最大功耗估计值准确和加速明显的优点。  相似文献   

14.
单芯片多处理器(CMP)结构已成为提高微处理器性能的重要途径,但国内外针对此结构的功耗评估与优化研究还较少见到,已有的研究多集中在软件级和编译级,目前还没有适用于该结构的功耗评估软件模拟器.为单芯片多处理器结构建立准确的功耗评估模型,将可给出该结构中各部件的功耗使用情况,进而可通过调整部件电压或优化部件结构达到减少整体功耗的目的;同时,此功耗评估模型也可作为高层功耗优化研究的测试平台,为系统级、软件级功耗优化研究提供支持.  相似文献   

15.
多核服务器的能耗越来越巨大,当处理器核心数目增多时,能耗增加更明显。软件的动态功耗调节可以显著的降低整个系统的能耗,但这会影响到系统性能。文章分析了不同种类应用程序的能耗特性。通过硬件测量的数据,我们为软件节能调节提供了依据,得出了如下几个结论:1)软件节能有很大的空间;2)系统物理内存消耗的能耗占整体能耗;3)不同种类的应用程序,对特定硬件的节能调节可以有很好的节能效果同时可以将对系统性能的影响降到最低。  相似文献   

16.
一种新的CMOS电路最大功耗估计方法   总被引:1,自引:0,他引:1  
过大的峰值功耗会使芯片承受过大的瞬间电流冲击,降低芯片的可靠性及性能,因此有效地对电路最大功耗作出精确的估计非常重要,为了在尽可能短的时间内对VLSI电路的最大功耗下限作出较为可信的估计,给出了一种新的CMOS电路最大功耗估计方法,ISCAS85电路集的实验结果表明这种估计方法不仅对于无时间延迟功耗计算模型,而且对于有时间延迟功耗计算模型,都具有最大功耗估计值较准确和耗时短的优点。  相似文献   

17.
AES能量攻击的建模与分析   总被引:1,自引:0,他引:1       下载免费PDF全文
在CMOS工艺实现的数字电路中,瞬时能量消耗很大程度上取决于当前时刻处理数据的中间结果。基于这一原理对加密设备实施的能量分析(PA)攻击能有效地破解密钥。本文针对高级加密标准(AES)建立了电路模型,从理论上用不同的统计方法在仿真平台上验证了差分能量分析(DPA)和相关能量分析(CPA)对AES攻击的可行性,在此基础上给出了一种低成本的抗能量攻击方法。  相似文献   

18.
面向云计算数据中心的能耗建模方法   总被引:1,自引:0,他引:1  
罗亮  吴文峻  张飞 《软件学报》2014,25(7):1371-1387
云计算对计算能力的需求,促进了大规模数据中心的飞速发展.与此同时,云计算数据中心产生了巨大的能耗.由于云计算的弹性服务和可扩展性等特性,云计算数据中心的硬件规模近年来极度膨胀,这使得过去分散的能耗问题变成了集中的能耗问题.因此,深入研究云计算数据中心的节能问题具有重要意义.为此,针对云计算数据中心的能耗问题,提出了一种精确度高的能耗模型来预测云计算数据中心单台服务器的能耗状况.精确的能量模型是很多能耗感知资源调度方法的研究基础,在大多数现有的云计算能耗研究中,多采用线性模型来描述能耗和资源利用率之间的关系.然而随着云计算数据中心服务器体系结构的变化,能耗和资源使用率的关系已经难以用简单的线性函数来描述.因此,从处理器性能计数器和系统使用情况入手,结合多元线性回归和非线性回归的数学方法,分析总结了不同参数和方法对服务器能耗建模的影响,提出了适合云计算数据中心基础架构的服务器能耗模型.实验结果表明,该能耗模型在只监控系统使用率的情况下,在系统稳定后,能耗预测精度可达到95%以上.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号