首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 421 毫秒
1.
多值逻辑和多值逻辑电路近年来得到了越来越多的应用,研究多值逻辑电路的测试,给出了采用敏化路径法计算给定故障测试矢量的方法,并对多故障的测试生成进行了研究.结果表明若同时对故障位置的多条路径进行敏化,则可以方便地求出故障的测试矢量.  相似文献   

2.
基于PC机的虚拟逻辑分析仪的设计   总被引:2,自引:0,他引:2  
逻辑分析仪的测试对象是数字系统中的数字信息,其结构复杂,成本较高。所述的是基于虚拟仪器概念的虚拟逻辑分析仪的设计思想和方法,由于部分硬件功能软化而使硬件电路大为简化,从而降低了仪器成本,提高了逻辑分析仪的可靠性和性能。重点阐述了基于PC机的虚拟逻辑分析仪的硬件电路设计和软件设计部分。  相似文献   

3.
描述了一种集群通信中全新的测试解决方案.测试仪器将同时模拟基站和移动电台的通信功能,发送无线集群信令、摩托罗拉车载电台串行外围接口命令和其他测试信息来验证逻辑控制器的性能.其中在测试仪的硬件电路中采用可编程逻辑器件实现串行外围接口通信功能.测试仪软件设计采用模块化设计.在实际使用中发现这种集群逻辑控制器测试仪器通用性好,只需更改处理通信协议的软件模块就可以使测试仪测试基于MPT-137或PAA1382信令的集群逻辑控制器.这种测试仪器在集群通信测试领域应用前景广阔.  相似文献   

4.
本文对逻辑分析仪的触发功能和应用方法进行了介绍。针对应用逻辑分析仪触发功能捕获复杂信号的难点,对内触发功能提出了一种渐进式的高级触发序列设计方法;对外部触发的触发输入和触发输出功能分别进行了说明,讲解了如何利用外部触发功能,使用或配合其他测试仪器进行同步测试工作。文章结合电路调试实例分别对逻辑分析仪的内、外触发功能应用进行了详细的说明。  相似文献   

5.
针对测试仪器的功能描述问题,参照STD标准建立的信号模型,通过定义仪器可以产生和测量的信号,实现了对仪器测试/激励能力的描述.通过定义逻辑资源,并且把仪器的测试/激励信号能力映射到仪器可实现的逻辑资源之上,描述了仪器产生或测量信号之间的约束或依赖等复杂关系.  相似文献   

6.
高密度在系统可编程逻辑器件在全数字转速测量中的应用   总被引:1,自引:0,他引:1  
首先介绍了在系统可编程技术及器件的特点,分析了变M/T转速测量电路的工作原理,并由高密度在系统可编程逻辑器件设计了单片全数字转速测量电路。运行结果表明所设计的电路完全达到设计要求。  相似文献   

7.
本文介绍了可编程逻辑器件的发展现状及其在接口电路地址译码中的应用,在基于PC机的多轴步进电机控制卡设计中用GAL22V10实现了在接口电路中设计地址、控制总线缓冲和隔离以保护计算机的功能。从使用效果看,不仅减小了系统体积,简化了系统设计,而且减少了级延迟,提高了系统处理速度,有助于保证控制卡的实时性要求。  相似文献   

8.
赵曙光  王晓吕 《机械》2010,37(10):51-52,55
针对用户使用特点,研制了一种台式、实用的逻辑控制单元试验台;该产品采用工业计算机技术、硬件电路模块化设计技术,实现了逻辑控制单元的整机测试、手动测试试验;阐述了该系统的硬件、软件实现方法,系统结构简洁,使用方便、安全、可靠、抗干扰性强,具有很好的扩展性和升级空间。  相似文献   

9.
线阵CCD驱动电路设计的几种方法   总被引:21,自引:2,他引:21  
驱动电路的设计是CCD应用的关键技术,分析了CCD驱动电路的4种设计方案:EPROM驱动、IC驱动、单片机驱动以及可编程逻辑器件驱动。比较了各自的优缺点:以TCD1208AP为例,使用可编程逻辑器件设计了其驱动电路,分析设计原理,并给出了仿真时序,  相似文献   

10.
基于多值测试的诊断策略优化生成   总被引:7,自引:1,他引:6  
研究了多值输出测试条件下的诊断策略优化生成问题,把该问题形式化为一个最优多值与或决策树的搜索问题,然后将已有的基于二值测试的优化算法(信息增量启发式算法)同多值逻辑相结合,提出了包括多值输出测试和非对称测试的故障诊断策略优化生成算法,最后应用测试案例验证了该算法的有效性.结果表明,本方法对二值或多值测试以及非对称测试均适用,可以获得诊断精度和测试费用的理想权衡.  相似文献   

11.
基于VXI总线的任意波形发生器是随着计算机技术和微电子技术在测试仪器中的应用而形成和发展起来的一类新型测试仪器。任意波形发生器的价值在于它能真正产生任意波形。文中提出了一种新型的任意波形发生器结构,介绍了基于VXI总线C尺寸任意波形发生器设计的全过程,开发了VXI总线寄存器基接口、用DDS技术设计了功能电路、开发了AWG的软面板、应用控制程序和仪器驱动器,所设计的任意波形发生器的特点是:高速、模块化、方便的波形输入以及和VXI资源相结合。该任意波形发生器模块已应用于模块化雷达自动测试设备中,能提供测试系统所需要的任何波形激励信号。  相似文献   

12.
Currently there is a wide variety of logic control design methodologies used in industrial logic design. These methodologies include ladder diagrams, function block diagrams, sequential function charts, and flow charts, but driven by a desire for verifiability, academics are developing additional logic control design methodologies, such as modular finite state machines and Petri nets. Using these, important properties of programs can be verified and some logic can be generated automatically from a part plan. The main contribution of this paper is to define methods for measuring programs written in different methodologies, so that the performance of the methodologies can be compared.We demonstrate these methods of measurement using four program samples that perform similar functions on the same machine, written in four logic control design methodologies: ladder diagrams, Petri nets, signal interpreted Petri nets and modular finite state machines.  相似文献   

13.
CPLD技术在图形发生器中的应用   总被引:1,自引:0,他引:1  
FPGA(现场可编程阵列)和CPLD(复杂可编程逻辑器件)是可编程ASIC(专用集成电路)器件的主流。根据使用空间受到限制的航空、航天对地观察电视摄像系统特点,介绍了采用CPLD技术实现该系统变焦距数据的读取,并根据变焦距数据产生特定的图形符号,产生面阵CCD摄像机行、场同步信号及复合同步信号的原理及方法。实验表明采用CPLD设计方案,在降低系统功耗,缩短研发周期,提高系统可靠性方面取得了明显效果。  相似文献   

14.
Modern trends in the application of multiple-valued logic (MVL) in the design of digital devices are considered. Multiple-valued logic offers wider opportunities for implementation of digital processing algorithms than traditional binary logic. In applied problems, MVL substantially simplifies computational processes, reduces the total number of operations, and can be used to find alternative computational methods, more easily formalize and better understand the problem to be solved, and, finally, discover more efficient ways for solving the problem. Application of multilevel signals in the design of digital devices (such as multilevel or multiple-valued memory modules, arithmetic units, and programmable logic arrays) opens additional opportunities, namely, (i) substantially reduces the number of connections with external devices, which solves the so-called pin-out problem; (ii) reduces the number of ripple-through carriers used in the process of realization of arithmetic operations (normal binary addition or subtraction); and (iii) increases the packing density.  相似文献   

15.
以长春机械科学研究院有限公司研制的全数字冲击试验机的采样要求为设计指标,提出了一种基于FP-GA高速数据采集系统的设计方案,并描述了方案的系统设计和功能模块设计.利用QUARTUSⅡ软件平台,通过SignalTap Ⅱ逻辑仪观测采集数据,测试结果完全满足系统性能指标要求.  相似文献   

16.
基于LabVIEW的机床监测诊断软件研究   总被引:1,自引:0,他引:1  
介绍一种基于虚拟仪器的数控机床监测与故障诊断软件系统,着重讨论软件系统的设计思路,对诊断软件系统的各功能模块以及系统数据库进行了介绍。软件系统是在实际监测过程基础上开发的,实现了信号采集、处理和分析,具有很好的可操作性。  相似文献   

17.
In the design of digital circuits, transistor level faults occur due to open or shorted connection in the transistor terminals and with the variations in the transistor parameters. In this study fault diagnosis for hard faults in the digital circuits using artificial neural network and virtual instrument is presented. During the diagnosis process the parametric variations in transistors are also taken into account by varying the threshold voltages of the transistors. The output responses of the circuit under test under faulty and fault free conditions are plotted for all the input combinations. The resulting responses are curve fitted using polynomial curve fitting. The polynomial coefficients are used as signatures values to train the back propagation artificial neural network, which in turn is used for fault classification. The virtual instrument is designed to implement the fault diagnosis system. The system is validated with experiments on universal gates and all the proposed faults are correctly diagnosed.  相似文献   

18.
磁动式氧含量分析仪的研制   总被引:4,自引:1,他引:4  
对磁动式测氧仪的测量池、光路和数据采集系统进行了研究。从气体磁性推导出了哑铃球在非均匀梯度磁场中受到的转动力矩公式,给出测量池系统的设计方案,采用镀银刻蚀方案实现哑铃球系统的转动阻尼,分析了非均匀梯度磁场系统的技术要求。介绍线阵CCD光点位置探测光路和CCD数据采集与处理电路系统。为满足仪器定标、温度补偿和校正的需要,设置了五个功能按键,列表说明其功能。给出了仪器的校正方案和检测数据。实验结果表明:仪器在不同的温度点测量精度均可达0.2%以上,仪器的温度补偿性能、精度和稳定性达到了设计要求。  相似文献   

19.
本文提出了一种图形化的即时可重构虚拟仪器软件平台IsVIP,它采用开放的组件化体系结构,提供了硬件设备控制台和软件控件2类扩展点,能够实现对任意硬件设备的支持,以及实现任意的软件功能;采用数据流驱动的工作方式,多条数据流可以并行工作;虚拟仪器的功能通过控件以及控件间的连线实现,控件和连线能够在运行时增加或者删除,并立即见效,进而影响仪器系统的人机界面和运行逻辑;支持流水线执行模式,能够以串行结构实现并行效率,具有更高的吞吐率,能够处理更高负荷的数据处理请求。  相似文献   

20.
基于模糊控制的燃料电池电动车制动能量回馈策略   总被引:1,自引:0,他引:1  
文章分析了燃料电池电动车(FCEV)整车系统的结构和配置。当整车的驱动电机运行在再生发电状态时,既可以提供制动力,又可以给电池充电回收车体动能,从而延长电动车续航里程。根据几种制动回馈模式的特点,提出了一种基于模糊控制的制动能量回馈策略,该控制策略提高了燃料电池电动车的续航里程和能量回馈效率,使整车的动力性、安全性和舒适性达到了较好的平衡。经仿真和实际测试,结果表明所提策略满足总体设计性能指标要求。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号