首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
设计与制造了一种高灵敏度的硅微机械陀螺。陀螺用静电来驱动,用连接成惠斯顿电桥的压阻式力敏电阻应变计来检测。主梁、微梁 质量块结构实现了高灵敏度。比较硬的主梁提供了一定的机械强度,并且提供了高共振频率。微梁很细,检测时微梁沿轴向直拉直压。力敏电阻应变计就扩散在微梁上,质量块很小的挠动就能在微梁上产生很大的应力,输出很大的信号。5V条件下,陀螺检测部分的理论灵敏度达到27.45mV/gn。压阻式四端器件用来监测驱动振幅,可以反馈补偿压阻的温度系数。检测模态的Q值达260使陀螺能在大气下工作。陀螺利用普通的n型硅片制造,为了刻蚀高深宽比的结构,使用了深反应离子刻蚀(DRIE)工艺。  相似文献   

2.
为了提高MEMS执行器件对面内运动位移(或力学信号)检测的灵敏度并改善侧壁检测电阻制作工艺与其他工艺及其不同器件结构之间的兼容性问题,提出一种基于离子注入工艺和深度反应离子刻蚀(DRIE)工艺相结合制作检测梁侧壁压阻的方法。在此基础上,详细分析了影响位移检测灵敏度和分辨率的各种因素,并对侧壁压阻的结构尺寸及其工艺参数进行优化。最后,给出了侧壁表面压阻在几种不同类型典型MEMS执行器件中的应用,取得了很好的应用效果。  相似文献   

3.
微陀螺仪结构上的腐蚀凹槽或腐蚀腔可以由深层反应离子刻蚀技术得到,加工过程中存在的刻蚀误差对微陀螺的固有频率、输出精度和稳定性有重要的影响.采用有限元分析软件ANSYS建立了一种梳状微机械陀螺的有限元分析模型,采用解析的方法并通过Matlab数学软件进行仿真,研究了由于加工误差导致微梁过度刻蚀对微陀螺驱动模态、检测模态、固有频率、带宽、灵敏度的影响.结果表明,微梁刚度和微陀螺固有频率随着刻蚀角度的增大而增大;最大过度刻蚀角度为±2度时,其驱动模态和检测模态的固有频率的变化率均超过了14%;刻蚀误差会导致微陀螺工作模态降阶,以及干扰模态介于与驱动和检测模态之间且与驱动模态频率相近,这会严重影响微陀螺的输出精度;带宽随过度刻蚀夹角增大而减小,灵敏度随过度刻蚀夹角的变化而发生不规律变化;当刻蚀角度介于0°~1.5°时,微陀螺的灵敏度将高于无刻蚀误差时微陀螺的灵敏度.  相似文献   

4.
一种新结构硅微机械压阻加速度计   总被引:6,自引:3,他引:3  
设计、制造并测试了一种新结构硅微机械压阻加速度计.器件结构是悬臂梁-质量块结构的一种变形.比较硬的主悬臂梁提供了一定的机械强度,并且提供了高谐振频率.微梁很细,检测时微梁沿轴向直拉直压.力敏电阻就扩散在微梁上,质量块很小的挠动就能在微梁上产生很大的应力,输出很大的信号.5 V条件下,灵敏度为14.80 mV/g,谐振频率为994 Hz,分别是传统结构压阻加速度计的2.487倍和2.485倍.加速度计用普通的N型硅片制造,为了刻蚀高深宽比的结构,使用了深反应离子刻蚀(DRIE)工艺.  相似文献   

5.
扫描刻蚀加工是一种基于并行探针驱动、集成微小等离子体刻蚀原理的新型微纳米加工手段。特种悬臂梁是扫描刻蚀加工系统中的核心器件,其性能直接影响到系统的可靠性。该特种悬臂梁为集成压电陶瓷驱动薄膜的多层复合梁,在加工工艺过程中所引起的材料内部的残余应力,将导致复合梁加工释放后的弯曲变形,影响扫描刻蚀加工系统的性能。基于弹性薄板理论建立含残余应力项的多层复合梁的理论模型。利用有限元法对多层复合梁进行数值仿真,结合田口优化方法,对多层薄膜的厚度进行优化设计。  相似文献   

6.
用于MEMS器件制造的深反应离子刻蚀设备   总被引:1,自引:0,他引:1  
深反应离子刻蚀(DRIE)设备,主要应用于MEMS器件制造中Si材料的深槽刻蚀[1].介绍了一种用于硅材料的高深宽比反应离子刻蚀设备,采用ICP技术,刻蚀深宽比≥25∶1.着重阐述了该设备的结构组成、设计方法及控制方法.  相似文献   

7.
给出了一种新型的基于平面内谐振模态的电热驱动微悬臂梁的工作原理和制造方案。相比于传统的平面外谐振模态谐振式悬臂梁,该设计能有效地降低微悬臂梁在液体中工作时的拖曳力,从而降低其振动能量损失,使得其接入锁相环接口电路后的闭环品质因数达到了249。电热驱动和压阻检测方式便于工艺集成和快速检测。本文给出了基于SOI硅片和深反应离子刻蚀(DRIE)的悬臂梁制作方案,并分别在空气和水中对悬臂梁的谐振特性进行了测试。  相似文献   

8.
在干法刻蚀GaN时使用AZ-4620作为掩膜层,为了在较快的GaN刻蚀速率下获得良好的GaN/AZ-4620刻蚀选择比,使用电感耦合等离子刻蚀机(ICP),运用Cl2和BCl3作为刻蚀气体,改变气体总流量、直流自偏压、ICP功率、气体组分等工艺条件,并讨论了这些因素对GaN/AZ-4620刻蚀选择比以及对GaN刻蚀速率的影响.实验结果获得了GaN在刻蚀速率为225nm/min时的GaN/AZ-4620选择比为0.92,可以应用于实际生产.  相似文献   

9.
硅微机械陀螺仪的驱动模态和敏感模态间的交叉耦合制约了其性能的提高。设计了一种对称解耦硅微机械陀螺仪,它的驱动机构与检测机构都做线性滑膜阻尼振动且完全解耦,使得驱动和敏感模态之间的耦合小、结构振动平稳性好、品质因数高。该微陀螺仪的驱动与检测支承梁完全相同且对称分布,使得驱动模态和敏感模态的谐振频率受加工误差和温度变化的影响近乎相同,所以频率匹配性好,结构的灵敏度大大提高。实验测试结果表明对称硅微机械陀螺仪的耦合误差得到了有效减小,并且它的驱动和检测谐振频率仅相差6Hz,其品质因数在空气条件下分别为145和117,检测模态的品质因数与采用压膜阻尼振动方式进行检测的硅微机械陀螺仪相比有了显著提高。  相似文献   

10.
深反应离子刻蚀(DRIE)工艺在目前的硅微机械高深宽比结构加工中应用十分广泛。在SOI硅片DRIE刻蚀过程中,存在着一些被认为对刻蚀速率和结构轮廓不利的效应,如横向刻蚀(Notching)效应。通过在结构旁布置牺牲结构-硅岛,利用Notching效应加工出以悬空硅作为敏感单元的风速仪,其响应时间常数和电阻温度系数TCR(Temperature Coefficient of Resistant)分别为1.08μs和4 738×10-6/℃。正如所描述的,对于特定的微机械应用,Notching效应可以转变为一种加工优势,提高了微加工过程中的变化性。  相似文献   

11.
A DRIE assisted wet anisotropic bulk micromachining (DAWN) process is demonstrated to fabricate various three-dimensional MEMS devices on a silicon-on-insulator (SOI) wafer. This SOI DAWN process can realize thin film structures, reinforced (thin film) structures, and thick structures with totally different mechanical characteristics. Various passive and active mechanical components, including flexible springs, rigid structures, and actuators, have been fabricated using the SOI DAWN process and have been further integrated to create MEMS devices which are flexible as well as movable in both in-plane and out-of-plane directions. This SOI DAWN process has been successfully applied to produce various multi-DOF devices made of single crystal silicon (SCS).  相似文献   

12.
Real-time etch-depth measurements of MEMS devices   总被引:3,自引:0,他引:3  
An in situ, real-time process control tool was developed for MEMS deep reactive-ion etch (DRIE) fabrication. DRIE processes are used to manufacture high-aspect-ratio silicon structures up to several hundred microns thick, which would be difficult or impossible to produce by other methods. DRIE MEMS technologies promise to deliver new devices with increased performance and functionality at lower cost. A major difficulty with DRIE is the control of etch depth. Our research shows that it is possible to monitor the etch depth of various MEMS structures (holes, pillars, trenches, etc.) through measurement and analysis of the infrared reflectance spectrum. Depths as large as 150 μm have been measured. Excellent correlation is found between the etch depths determined by analysis of these measurements and those measured with an SEM. In addition to etch depth, other parameters such as the photoresist thickness (e.g., mask erosion) can be simultaneously extracted. Based on these results, an infrared-reflectance etch monitor was integrated onto a reactive ion etcher at the Berkeley Sensor and Actuator Center for real-time monitoring and end-point determination. The integrated optical metrology system demonstrated accurate real-time monitoring of the etch depth and photoresist mask erosion  相似文献   

13.
A silicon pendulous oscillating gyroscopic accelerometer (POGA) was fabricated using deep-reactive ion etching (DRIE) and silicon wafer bonding technologies. A POGA is the micromachining-compatible analog of the pendulous integrating gyroscopic accelerometer (PIGA), which is the basis of the most sensitive accelerometers demonstrated to date. Gyroscopic accelerometers rely on the principle of rebalancing an acceleration-sensing pendulous mass by means of an induced gyroscopic torque. The accelerometer is composed of three individual layers that are assembled into the final instrument. The top layer uses wafer bonding of an oxidized wafer to a handling wafer to create a silicon-on-oxide wafer pair, in which the oxide layer provides electrical isolation between the mechanical members and the handling layer. The middle layer is a two-gimbal torsionally-supported silicon structure and is in turn supported by an underlying drive/sense layer. The micromachined POGA operated according to gyroscopic accelerometer principles, having better than milligram resolution and dynamic ranges in excess of 1 g (open loop) and approximately 12 mg (closed loop).  相似文献   

14.
This paper presents a single-crystal silicon symmetrical and decoupled (SYMDEC) gyroscope implemented using the dissolved wafer microelectromechanical systems (MEMS) process on an insulating substrate. The symmetric structure allows matched resonant frequencies for the drive and sense vibration modes for high-rate sensitivity and low temperature-dependent drift, while the decoupled drive and sense modes prevents unstable operation due to mechanical coupling, achieving low bias-drift. The 12–15-$mu m$-thick single-crystal silicon structural layer with an aspect ratio of about 10 using DRIE patterning provides a high sense capacitance of 130 fF, while the insulating substrate provides a low parasitic capacitance of only 20 fF. A capacitive interface circuit fabricated in a 0.8-$mu m$CMOS process and having a sensitivity of 33 mV/fF is hybrid connected to the gyroscope. Drive and sense mode resonance frequencies of the gyroscope are measured to be 40.65 and 41.25 kHz, respectively, and their measured variations with temperature are$+18.28~ Hz/~^circ C$and$+18.32~ Hz/~^circ C$, respectively, in$-40~^circ C$to$+85~^circ C$temperature range. Initial tests show a rate resolution around 0.56 deg/s with slightly mismatched modes, which reveal that the gyroscope can provide a rate resolution of 0.030 deg/s in 50-Hz bandwidth at atmospheric pressure and 0.017 deg/s in 50-Hz bandwidth at vacuum operation with matched modes.hfillhbox[1195]  相似文献   

15.
A scheme for creating metal-coated vertical mirrors in silicon, along with an integrated transparent package lid for assembling, packaging, and testing microelectromechanical systems (MEMS) devices is presented. Deep reaction ion etching (DRIE) method described here reduces the loading effect and maintains a uniform etch rate resulting in highly vertical structures. A novel self-masking lithography and liftoff process was developed to ensure that the vertical mirrors undergo uniform metallization while leaving a transparent window for optical probing. Front side of a Si wafer was shallow-etched using DRIE to define an eventual optical window. This surface was then anodically bonded to a Pyrex wafer. Backside Si was then patterned to define thin channels around the optical window. These channels were vertically etched using DRIE, after which the unattached portions of the window region were removed. Negative photoresist was spun on the remaining vertical structures and the stack was exposed from the Pyrex side using Si structures as a self-mask. Subsequent metal sputtering and liftoff results in the metallized top and mirror sidewalls while leaving a clear window. These integrated mirrors and lids are then bonded to the active MEMS mirrors. Various processes and results are illustrated with an example of packaged corner cube retroreflectors (CCRs)  相似文献   

16.
The micro-trench structures with high aspect ratio based on the single crystal silicon substrate are fabricated via the deep reactive ion etching (DRIE) process at different etching patterns. The relationship between the micro-trench structures and the DRIE etching patterns is investigated by simulating and processing. The micro-trench structures are obtained to meet the requirements of some MEMS devices for special applications. The profile roughness and micro-trench structures are observed by the atomic force-microscope and the field emission scanning electron microscopy. The verticality (V) of micro-trench structures is average 0.19 in the oxygen environment. The micro-trench structures exhibit better verticality, less roughness and better stability than that of no oxygen. The scalloping effects gradually decreased and the profile becomes more and more polished.  相似文献   

17.
We are developing novel ultra light-weight and high-resolution X-ray micro pore optics for space X-ray telescopes. In our method, curvilinear micro pore structures are firstly fabricated by silicon deep reactive ion etching (DRIE) or X-ray LIGA processes. Secondly, side walls of the micro structures are smoothed by magnetic field assisted finishing and/or hydrogen annealing techniques for high reflectivity mirrors. Thirdly, to focus parallel X-ray lights from astronomical objects, these structures are elastically or plastically bent into a spherical shape. Fourthly, the bent structures are stacked to form a multi-stage X-ray telescope. In this paper, we report on fabrication and X-ray reflection tests of silicon and nickel X-ray mirrors using the DRIE and LIGA processes, respectively. For the first time, X-ray reflections were confirmed on both of the mirrors. Estimated rms roughnesses were 5 nm and 3 nm for the silicon and nickel mirrors, respectively.  相似文献   

18.
This paper presents the modeling, design, fabrication and testing of monolithic electrostatic curved-electrode zipping actuators fabricated by deep reactive ion etching (DRIE). In contrast to traditional curved-electrode zipping actuators, the design of the actuators presented here utilizes a compliant starting cantilever to significantly reduce the initial pull-in voltage by closing the gap (kerf) generated by DRIE. Thus, the actuators achieve high actuation force at a relatively low voltage. For example, two actuators each with dimensions of 4.5 mm*100 /spl mu/m*300 /spl mu/m are used to drive a bistable MEMS relay. Together, the two actuators provide up to 10 mN of force over their 80 /spl mu/m stroke at 140 V. Measurements of the force-displacement relation of these actuators confirm theoretical expectations based both on numerical and analytical methods. Finite element analysis is employed to predict the behavior of the complete bistable relay system. [1231].  相似文献   

19.
This study presents a bulk micromachining fabrication platform on the (100) single crystal silicon substrate. The fabrication platform has employed the concept of vertical corner compensation structure and protecting structure to integrate the wet anisotropic etching and DRIE processes. Based on the characteristics of wet anisotropic etching and DRIE, various MEMS components are demonstrated using the bulk micromachining platform. For instance, the free suspended thin film structures and inclined structures formed by the {111} crystal planes are fabricated by the wet etching. On the other hand, the mesas and cavities with arbitrary shapes and the structures with different leve l heights (or depths) are realized by the characteristics of DRIE. Since the aforementioned structures can be fabricated and integrated using the presented fabrication platform, the applications of the bulk micromachining processes will significantly increase.This research is based on the work supported by WALSIN LIHWA Corporation and the National Science Council of Taiwan under grant of NSC-91–2218-E-007–034. The authors would like to thank the Central Regional MEMS Research Center of National Science Council, Semiconductor Research Center of National Chiao Tung University and National Nano Device Laboratory for providing the fabrication facilities.  相似文献   

20.
Micromachined flat-walled valveless diffuser pumps   总被引:10,自引:0,他引:10  
The first valveless diffuser pump fabricated using the latest technology in deep reactive ion etching (DRIE) is presented. The pump was fabricated in a two-mask micromachining process in a silicon wafer polished on both sides, anodically bonded to a glass wafer. Pump chambers and diffuser elements were etched in the silicon wafer using DRIE, while inlet and outlet holes are etched using an anisotropic etch. The DRIE etch resulted in rectangular diffuser cross sections. Results are presented on pumps with different diffuser dimensions in terms of diffuser neck width, length, and angle. The maximum pump pressure is 7.6 m H2O (74 kPa), and the maximum pump flow is 2.3 ml/min for water  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号