首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 796 毫秒
1.
陈媛  张鹏  夏逵亮 《半导体技术》2018,43(6):473-479
随着3D集成封装的发展,硅通孔(TSV)成为实现3D堆叠中最有前景的技术之一.通过通孔和微凸点实现上下堆叠IC之间的垂直电连接,先进的TSV技术能够满足3D SIP异构集成、高速宽带、小尺寸及高性能等要求.然而,作为新型互连技术,TSV技术面临许多工艺上的困难和挑战,其可靠性没有得到充分的研究和保证.识别缺陷、分析失效机理对TSV三维集成器件的设计、生产和使用等各环节的优化和改进具有重要作用.对不同形状、不同深宽比的TSV通孔边界层进行了微观物理分析,对通孔形状、边界层均匀性等方面进行了评价,分析了各种工艺缺陷形成的物理机制以及可能带来的失效影响.最后根据其产生的原因提出了相应的改进措施.  相似文献   

2.
建立了三维硅通孔(TSV)芯片垂直堆叠封装结构有限元分析模型,对模型在热扭耦合加载下进行了仿真分析;分析了TSV材料参数与结构参数对TSV互连结构热扭耦合应力的影响;采用了响应面与模拟退火算法对在热扭耦合加载下TSV互连结构参数进行优化设计。结果表明:TSV互连结构最大热扭耦合应力应变位于铜柱与微凸点接触面外侧;微凸点材料为SAC387时,TSV互连结构热扭耦合应力最大,该应力随SiO2层厚度的增大而增大,随铜柱直径的增大而先增大后减小,随铜柱高度的增大而减小;最优参数水平组合为铜柱直径50μm、铜柱高度85μm、SiO2层厚度3μm,优化后的最大热扭耦合应力下降了5.3%。  相似文献   

3.
为了满足超大规模集成电路(VLSI)芯片高性能、多功能、小尺寸和低功耗的需求,采用了一种基于贯穿硅通孔(TSV)技术的3D堆叠式封装模型.先用深反应离子刻蚀法(DRIE)形成通孔,然后利用离子化金属电浆(IMP)溅镀法填充通孔,最后用Cu/Sn混合凸点互连芯片和基板,从而形成了3D堆叠式封装的制备工艺样本.对该样本的接触电阻进行了实验测试,结果表明,100 μm2Cu/Sn混合凸点接触电阻约为6.7 mΩ高90 μm的斜通孔电阻在20~30mΩ该模型在高达10 GHz的频率下具有良好的机械和电气性能.  相似文献   

4.
硅转接板是3D IC中实现高密度集成的关键模块,获取其技术参数对微系统的设计至关重要。以实际研制的一种2.5D硅转接板为研究对象,对大马士革铜布线(Cu-RDL)、硅通孔(TSV)关键电参数的测试结构与测试方法进行了研究,并对TSV电参数测试结构的寄生电容进行了分析。研究结果表明,研制的2.5D硅转接板中10 μm×80 μm TSV的单孔电阻为26 mΩ,1.7 μm厚度的Cu-RDL的方块电阻为9.4 mΩ/□,测试结果与理论计算值相吻合。本研究工作为2.5D/3D集成工艺的研发和建模提供了基础技术支撑。  相似文献   

5.
硅通孔(TSV)技术作为三维封装的关键技术,其可靠性问题受到广泛的关注。基于ANSYS平台,通过有限元方法,对3D堆叠封装的TSV模型进行了电-热-结构耦合分析,并进一步研究了不同的通孔直径、通孔高度以及介质隔离层SiO_2厚度对TSV通孔的电流密度、温度场及热应力分布的影响。结果表明:在TSV/微凸点界面的拐角处存在较大的电流密度和等效应力,容易引起TSV结构的失效;增大通孔直径、减小通孔长度可以提高TSV结构的电-热-机械可靠性;随着SiO_2层厚度的增加,通孔的最大电流密度增大而最大等效应力减小,需要综合考虑合理选择SiO_2层厚度。  相似文献   

6.
采用硅通孔(TSV)技术的三维堆叠封装,是一种很有前途的解决方案,可提供微处理器低延迟,高带宽的DRAM通道.然而,在3D DRAM电路中,大量的TSV互连结构,很容易产生开路缺陷和耦合噪声,从而导致了新的测试挑战.通过大量的模拟研究.本文模拟了在三维DRAM电路的字线与位线中出现的TSV开路缺陷的故障行为,它作为有效...  相似文献   

7.
尚玉玲  于浩  李春泉  谈敏 《半导体技术》2017,42(11):870-875
为避免传统的探针检测对硅通孔(TSV)造成损伤的风险,提出了一种非损伤的TSV测试方法.用TSV作为负载,通过环形振荡器测量振荡周期.TSV缺陷造成电阻电容参数的变化,导致振荡周期的变化.通过测量这些变化可以检测TSV故障,同时对TSV故障的不同位置引起的周期变化进行了研究与分析,利用最小二乘法拟合出通过周期来判断故障位置的曲线,同时提出预测模型推断故障电阻范围.测试结构是基于45 nm PTM COMS工艺的HSPICE进行设计与模拟,模拟结果表明,与同类方法相比,此方法在测试分辨故障的基础上对TSV不同位置的故障进行分析和判断,并能推断故障电阻范围.  相似文献   

8.
3D封装及其最新研究进展   总被引:4,自引:1,他引:3  
介绍了3D封装的主要形式和分类。将实现3D互连的方法分为引线键合、倒装芯片、硅通孔、薄膜导线等,并对它们的优缺点进行了分析。围绕凸点技术、金属化、芯片减薄及清洁、散热及电路性能、嵌入式工艺、低温互连工艺等,重点阐述了3D互连工艺的最新研究成果。结合行业背景和国内外专家学者的研究,指出3D封装主要面临的是散热和工艺兼容性等问题,提出应尽快形成统一的行业标准和系统的评价检测体系,同时指出对穿透硅通孔(TSV)互连工艺的研究是未来研究工作的重点和热点。  相似文献   

9.
正成就高性能,低成本,薄型化封装设计面对面芯片叠加可以简化封装:使用成熟的铜柱微凸点倒装技术叠加两颗或多颗芯片,而无需昂贵的穿硅孔(TSV)技术近距离芯片互联可以提高性能:实现高带宽,高密度和高功率目标,而无需昂贵的2.5D或3D穿硅孔(TSV)技术优化芯片放置可以实现轻薄化:减薄的子芯片置于倒装母芯片下的凸点阵列环内与母芯片互联,或置于基板BGA阵列环内与基板互联,封装体没有增厚  相似文献   

10.
张玲  梅军进  王伟征 《微电子学》2017,47(6):797-801, 805
相比于2D芯片,3D芯片具有更高的功率密度和更低的热导率。针对散热问题,多层3D芯片一般采用具有较高热导率的铜填充硅通孔(TSV)。为提高3D芯片的成品率,在温度条件限制下,对3D芯片进行TSV的容错结构设计非常重要。分析了带有TSV的3D芯片温度模型,提出了3D芯片温度模型的TSV修复方法。根据温度要求设计总的TSV数,将这些TSV分为若干个组,每组由m个信号TSV和n个冗余TSV组成,实现了组内和组间信号的TSV修复。实验结果表明,该TSV容错结构不仅有较高修复效率,而且具有较好散热效果。  相似文献   

11.
提出了一种应用于3D封装的带有硅通孔(TSV)的超薄芯片的制作方法。具体方法为通过刻蚀对硅晶圆打孔和局部减薄,然后进行表面微加工,最后从硅晶圆上分离出超薄芯片。利用两种不同的工艺实现了TSV的制作和硅晶圆局部减薄,一种是利用深反应离子刻蚀(DRIE)依次打孔和背面减薄,另一种是先利用KOH溶液湿法腐蚀局部减薄,再利用DRIE刻蚀打孔。通过实验优化了KOH和异丙醇(IPA)的质量分数分别为40%和10%。这种方法的优点在于制作出的超薄芯片翘曲度相较于CMP减薄的小,而且两个表面都可以进行表面微加工,使集成度提高。利用这种方法已经在实验室制作出了厚50μm的带TSV的超薄芯片,表面粗糙度达到0.02μm,并无孔洞地电镀填满TSV,然后在两面都制作了凸点,在表面进行了光刻、溅射和剥离等表面微加工工艺。实验结果证实了该方法的可行性。  相似文献   

12.
《Microelectronics Reliability》2014,54(12):2881-2897
The state of the art for electronic industries tends to offer products with smaller scales, lower cost, larger storage space, multi-functionality and low-power consumption. The latest package technology has advanced towards three-dimensional (3-D) system in package (SiP) design. However, reliability of interconnects becomes an important issue due to the complicated structure induced stress sensitivity for fractures. Therefore, optimizing the design is essential to improve reliability, especially for the delicate Through-Silicon Via (TSV) which is fabricated using high density interconnect technology.A 3-D TSV stacked-chips package model is constructed by finite element analysis (FEA) to investigate the thermo-mechanical behavior for packages stressed under temperature cycle test (TCT). The global/local method with convergence analyses are jointly applied and achieved an improvement of 74.4% in simulation efficiency. The viscoplastic solder joints, and the elastoplastic behavior with isotropic hardening for copper interconnects are considered in this FEA model, in which the maximum equivalent plastic strain is found to be located at the most critical copper bump and treated as an indicator for reliability. The significant factors, such as the CTE (coefficient of thermal expansion) of silicon substrate, silicon chip and TSV copper bump, are selected by using the fractional factorial design. copper; the thickness of silicon chip and the radius of TSV The objective function is constructed from the normalized regression model according to the response surface method. The results show that the optimal design performs a significant improvement by up to 43.416% for the reduced equivalent plastic strain after genetic algorithm (GA) optimization.To cope with design for manufacturability (DfM), the interval genetic algorithm (IGA) method is introduced for exploring the optimum interval range based on the defined objective error. Finally, the sensitivity analysis is conducted for each significant factor to determine the priority of accuracy control.  相似文献   

13.
CMOS retinal prosthesis with on-chip electrode impedance measurement   总被引:1,自引:0,他引:1  
A retinal prosthesis device with built-in self-test capability is proposed and demonstrated. The measurement of electrode impedance as a self-test is achieved without increasing the chip area by employing analogue multiplexers to allow the electrodes to be used for both stimulation of retinal cells and measurement of impedance. Measurement is performed using a four-terminal method to ensure good accuracy. A prototype stimulus chip with 16/spl times/16 channels is fabricated using standard 0.6 /spl mu/m CMOS technology, and is demonstrated to provide self-test functionality with error of as little as 0.05% in the frequency range of 100 Hz to 100 kHz.  相似文献   

14.
随着半导体技术的发展,封装工艺与圆片工艺的联系越来越密切,特别是倒装技术的发展及广泛应用。由CSP到WL-CSP,再到TSV技术,封装技术的发展越来越迅速。倒装技术是发展的关键技术,它包括再分布技术、凸点底层金属(UBM)技术、凸点制备技术、倒扣焊接技术和底部填充技术等。文章介绍了传统芯片通过再分布设计及工艺解决实现倒装工艺,为倒装技术以及新技术的开发和应用提供了良好的途径和广阔的空间。  相似文献   

15.
Much research has been carried out to realize through-silicon via (TSV) technology for three-dimensional (3D) chip stacking packaging. A vertical chip interconnection method using Cu/Sn-Ag bumps and nonconductive films (NCFs) is one of the most promising approaches for 3D TSV vertical interconnection. In this work, the relationship between the viscosity of pre-applied NCFs and loading forces was investigated to predict the gap change between a TSV chip and a substrate chip. Existing theories of squeeze flow are adapted to predict the gap change of a real TSV chip and a substrate chip during TSV bonding using a simplified model. The real gaps measured during bonding of test dies were matched to check the validity of the prediction model. Considering the thixotropy of NCFs, the prediction well matched the real gap changes between bumped TSV chips and substrate chips during bonding.  相似文献   

16.
This paper investigates the interconnection between the driver integrated circuit (IC) and glass substrate via anisotropic conductive adhesive (ACF) of chip on glass package. The conductive particle deformation is evaluated using a novel method, optical microscope (OM) inspection. The proposed method is more convenient than the traditional approach using scanning electron microscopy applied in the manufacturing process. Interconnection performance is easily judged using OM, allowing poor interconnection between the driver IC and glass substrate to be screened out. Several types of driver ICs with different bump area ratios (total input bump area/total output bump area, input/output ratio) and length/width (L/W) ratios are designed in this experiment. The conductive particle deformations are investigated in this study. Driver ICs with L/W ratios larger than 15 have better conductive particle deformation uniformity at each position. The average deformation degree at the driver IC center position is larger than that at the side and edge positions. The deformation degree at the input position with a smaller bump area is better than that at the output position. The conductive resistance increases with the reliability testing time because of the thermal stress effect and softening of the ACF polymer material. The deformation degree is related to the conductive resistance of the interconnection. The conductive resistance is lower at the center and input positions with larger deformation degree.  相似文献   

17.
由于需要外部电源的接入,传统芯片上的环形结构的物质波波导无法形成完全封闭的环形结构,其产生的环形磁阱存在天然缺陷,阻碍了对冷原子的有效操控。利用硅通孔(TSV)技术能够在垂直于原子芯片表面方向接入导线,有望降低接入导线对环形磁阱的影响。本文通过有限元方法对基于TSV技术的环形原子物质波波导进行仿真研究,对导线加载电流时的磁场进行仿真分析,并系统研究了TSV横截面形状、通孔深度、通孔间隙等因素对环形导线所产生磁阱的影响。最终结合仿真结果,设计一种在加工工艺上切实可行的基于TSV结构的环形波导原子芯片。  相似文献   

18.
系统芯片(SoC)技术的发展使得芯片内总线长度大大增加;芯片速度按照摩尔定律成倍提高(高达GHz),总线间的串扰(Crosstalk)现象也日益严重,因此关于串扰的故障模型和自测试技术越来越受到关注。本文利用最大侵扰故障MAF(Maximal Aggressor Fault)模型,提出了一种SoC芯片中总线串扰故障的自测试方法。利用该方法,SoC芯片中地址、数据和控制总线的串扰故障均得到了测试,实验结果也表明其硬件开销较其它方案大大降低。  相似文献   

19.
Through Silicon Via (TSV) technology is a promising and preferred way to realize the reliable interconnection for 3D IC integration. The temperature changed in the processes of TSV manufacturing and chip using, due to the mismatch in the Coefficient of Thermal Expansion (CTE) of the materials used in TSV structure, significant thermal stress will be induced under the thermal load. These stresses may lead to various reliability issues. Dimension parameters and defects are the two factors affecting the thermal behavior of TSV. In order to optimize TSV design and the quality of via filling, a numerical model of Cu-filled TSV was established to simulate and analyze the effect of diameter, aspect ratio (AR) and defects on TSV thermal stress and deformation in this paper. Simulation results show that the equivalent stress and total deformation of TSV increases as the increase of the diameter of TSV. The effect of aspect ratio on equivalent stress is very little; however, it has a great impact on total deformation, especially for the large diameter of the TSV. Additionally, the effects of shape, size and location of defect on thermal stress were also investigated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号