首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 640 毫秒
1.
A novel dry etching process of a poly-Si/TaN/HfSiON gate stack for advanced complementary metal-oxide-semiconductor(CMOS) devices is investigated.Our strategy to process a poly-Si/TaN/HfSiON gate stack is that each layer of gate stack is selectively etched with a vertical profile.First,a three-step plasma etching process is developed to get a vertical poly-Si profile and a reliable etch-stop on a TaN metal gate.Then different BCl3-based plasmas are applied to etch the TaN metal gate and find that BCl3/Cl2/O2/Ar plasma is a suitable choice to get a vertical TaN profile.Moreover,considering that Cl2 almost has no selectivity to Si substrate, BCl3/Ar plasma is applied to etch HfSiON dielectric to improve the selectivity to Si substrate after the TaN metal gate is vertically etched off by the optimized BCl3/Cl2/O2/Ar plasma.Finally,we have succeeded in etching a poly-Si/TaN/HfSiON stack with a vertical profile and almost no Si loss utilizing these new etching technologies.  相似文献   

2.
正The dry etching characteristic of Al_(1.3)Sb_3Te film was investigated by using a CF_4/Ar gas mixture.The experimental control parameters were gas flow rate into the chamber,CF_4/Ar ratio,the O_2 addition,the chamber background pressure,and the incident RF power applied to the lower electrode.The total flow rate was 50 sccm and the behavior of etch rate of Al_(1.3)Sb_3Te thin films was investigated as a function of the CF_4/Ar ratio,the O_2 addition,the chamber background pressure,and the incident RF power.Then the parameters were optimized.The fast etch rate was up to 70.8 nm/min and a smooth surface was achieved using optimized etching parameters of CF_4 concentration of 4%,power of 300 W and pressure of 80 mTorr.  相似文献   

3.
Chemical mechanical planarization(CMP) of amorphous Ge2Sb2Te5(a-GST) is investigated using two typical soft pads(politex REG and AT) in acidic slurry.After CMP,it is found that the removal rate(RR) of a-GST increases with an increase of runs number for both pads.However,it achieves the higher RR and better surface quality of a-GST for an AT pad.The in-situ sheet resistance(Rs) measure shows the higher Rs of a-GST polishing can be gained after CMP using both pads and the high Rs is beneficial to lower the reset current for the PCM cells. In order to find the root cause of the different RR of a-GST polishing with different pads,the surface morphology and characteristics of both new and used pads are analyzed,it shows that the AT pad has smaller porosity size and more pore counts than that of the REG pad,and thus the AT pad can transport more fresh slurry to the reaction interface between the pad and a-GST,which results in the high RR of a-GST due to enhanced chemical reaction.  相似文献   

4.
Reactive Ion Etching of GaAs, GaSb, InP and InAs in Cl2/Ar Plasma   总被引:1,自引:0,他引:1  
Reactive ion etching characteristics of GaAs,GaSb,InP and InAs using Cl_2/Ar plasma have been investigated,it is that,etching rates and etching profiles as functions of etching time,gas flow ratio and RF power.Etch rates of above 0.45 μm/min and 1.2 μm/min have been obtained in etching of GaAs and GaSb respectively, while very slow etch rates (<40 nm/min) were observed in etching of In-containing materials,which were linearly increased with the applied RF power.Etched surfaces have remained smooth over a wide range of plasma conditions in the etching of GaAs,InP and InAs,however,were partly blackened in etching of GaSb due to a rough appearance.  相似文献   

5.
The CF2 density and etch rate of SiO2, Si3N4 and Si are investigated as a function of gas pressure and O2 flow rate in fluorocarbon plasma. As the pressure increases, the self-bias voltage decreases whereas the SiO2 etch rate increases. Previous study has shown that SiO2 etch rate is proportional to the self-bias voltage. This result indicates that other etching parameters contribute to the SiO2 etching. Generally, the CF2 radical is considered as a precursor for fluorocarbon layer formation. At a given power, defluorination of fluorocarbon under high-energy ion bombardment is a main source of fluorine for SiO2 etching. When more CF2 radical in plasma, SiO2 etch rate is increased because more fluorine can be provided. In this case, CF2 is considered as a reactant for SiO2 etching. The etch rate of Si3N4 and Si is mainly determined by the polymer thickness formed on its surface which is dominated by the CF2 density in plasma. Etching results obtained by varying O2 flow rate also support the proposition.  相似文献   

6.
Mesa structures were etched in HgCdTe using different Br2/HBr/Ethylene glycol (EG) formulations. Etch rate and degree of anisotropy (A) were studied in detail for all of the combinations. Addition of EG to the conventional etchant gave A>0.5, with controllable etch rates. Optimum etchant composition was determined to be 2% Br2 in a 3:1 mixture of EG:HBr. This composition resulted in a good anisotropy factor of ∼0.6 and a reasonably optimum etch rate of ∼2.5 μm/min, with rms surface roughness of ∼2 nm. Kinetics of the etching reaction have also been studied for the optimum etchant concentration and an etching mechanism has been proposed.  相似文献   

7.
Reactive ion etching(RIE) of LiNbO3(LN) in SF6 plasma atmosphere was studied for optimizing the preparation conditions for LN ridge waveguides.The samples to be etched are Ti-diffused LN slab waveguides overlaid with a chromium film mask that has a Mach-Zehnder interferometer(MZI) array pattern.The experimental results indicate that the LN-etching rate(RLN) and the Cr-etching rate(RCr) as well as the rate ratio RLN/RCr increase with either increasing the radio-frequency(RF) power at a given SF6 flow rate or increasing the SF6 flow rate at a fixed RF power.The maximum values of RLN = 43.2 nm/min and RLN/RCr = 3.27 were achieved with 300 W RF power and 40 sccm SF6 flow.When the SF6 flow rate exceeds 40 sccm,an increase in the flow rate causes the etching rates and the rate ratio to decrease.The scanning electron microscope images of the LN ridge prepared after~20 min etching show that the ridge height is 680 nm and the sidewall slope angle is about 60°.  相似文献   

8.
The thermochemical etching behavior of GaAs/AlGaAs multilayer structure during laser beam scanning has been studied. The etch rate changes between GaAs and AlGaAs epilayers as the etching process proceeds through the layered sample. The phenomenon can be explained by the difference of thermal parameters of the heterojunction interface. The local temperature rise from laser irradiation has been calculated to investigate etching characteristics for GaAs and AlGaAs. It is concluded that the good thermal confinement at GaAs/AlGaAs interface produces the wider etch width of GaAs layer than that of AlGaAs layer in GaAs/AlGaAs multilayer. The maximum etch rate of the GaAs/AlGaAs multilayer was 32.5 μm/sec and the maximum etched width ratio of GaAs to AlGaAs was 1.7.  相似文献   

9.
The pattern of ITO transparent electrode of pixel cells in TFTAMLCD is a critical step in the manufacturing process of flat panel display devices,the development of suitable plasma reactive ion etching is necessary to achieve high resolution display.In this work we investigated the Ar/CF4 plasma etching of ITO as function of different parameters.We demonstrated the ability of this plasma to etch ITO and achieved an etching rate of about 3.73nm/min,which is expected to increase for long pumping down period,and also through addition of hydrogen in the plasma.Furthermore we described the ITO etching mechanism in Ar/CF4 plasma.The investigation of selectivity showed to be very low over silicon nitride and silicon dioxide but very high over aluminum.  相似文献   

10.
We prepared macro-porous silicon(MPS) by electrochemical corrosion in a double-tank cell on the surface of single-crystalline P-type silicon.Then,nano-WO3 films were deposited on MPS layers by DC facing target reactive magnetron sputtering.The morphologies of the MPS and WO3/MPS samples were investigated by using a field emission scanning electron microscope.The crystallization of WO3 and the valence of the W in the WO3/MPS sample were characterized by X-ray diffraction and X-ray photoelectron spectroscopy,respectively. The gas sensing properties of MPS and WO3/MPS gas sensors were thoroughly measured at room temperature. It can be concluded that:the WO3/MPS gas sensor shows the gas sensing properties of a P-type semiconductor gas sensor.The WO3/MPS gas sensor exhibits good recovery characteristics and repeatability to 1 ppm NO2.The addition of WO3 can enhance the sensitivity of MPS to NO2.The long-term stability of a WO3/MPS gas sensor is better than that of an MPS gas sensor.The sensitivity of the WO3/MPS gas sensor to NO2 is higher than that to NH3 and C2H5OH.The selectivity of the MPS to NO2 is modified by deposited nano-WO3 film.  相似文献   

11.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

12.
对使用CF4/Ar 混合气体刻蚀Al1.3Sb3Te的特性进行了研究。实验控制的参数是:气体流入刻蚀腔的速率,CF4/Ar 比例,O2的加入量,腔内压强以及加在底电极上的入射射频功率。总的气体流量是50sccm ,研究刻蚀速率与CF4/Ar的比例,O2加入量,腔内压强和入射射频功率的关系。最后刻蚀参数被优化。 使用优化的刻蚀参数CF4的浓度4%,功率300W,压强800mTorr,刻蚀速率达到70.8nm/min,刻蚀表面平整  相似文献   

13.
DRAM is the most commonly used memory due to many advantages such as high speed and easy manufacturability owing to its simple structure, but is volatile. On the other hand, flash memory is non-volatile, but has other disadvantages such as slow speed, short lifetime, and low endurance for repetitive data writing. Compared to DRAM and flash memory, PRAM (Phase-change Random Access Memory), which is a non-volatile memory using a reversible phase change between amorphous and crystalline state, has many advantages such as high speed, high sensing margin, low operating voltage, and is being pursed as a next generation memory. Being able to pattern and etch phase change memory in nanometer scale is essential for the integration of PRAM. This study uses the Nano-Imprint Lithography (NIL) for patterning the PRAM in nanometer scale which is believed to be a future lithography technology that will replace the conventional Photo Lithography. Si wafers coated with SiO2 were used as substrates, and Ge2Sb2Te5 (GST) films with the thicknesses of 100 nm were deposited by RF sputtering. Poly-benzylmethacrylate based polymer patterns were formed using NIL on the surface of GST films, and the GST films were etched using Cl2/Ar plasma in an Oxford ICP (inductively coupled plasma) etcher.  相似文献   

14.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

15.
This paper reviews material properties of chalcogenide phase change material Ge2Sb2Te5 under thermal anneal treatments. Stress evolutions of pure Ge2Sb2Te5 films and stacks of Ge2Sb2Te5 integrating with Ti adhesion layers are investigated. Segregation of Te atoms in the Ge2Sb2Te5 film to the interface drives an interaction between Ti and Te atoms and formation of Ti-Te binary phases. The irreversible phase segregation and modification of Ge2Sb2Te5 change the crystallization process, completely suppress the final transformation into otherwise stable hcp phase, and thus impact the ultimate life-cycle of such a phase change based memory cell. Since the adhesion layer is required in cell applications, the optimization of adhesion layer material and thickness may improve the life-cycles and reliability of devices.  相似文献   

16.
This paper describes the mechanism of selective Si3N4 etching over SiO2 in capacitively-coupled plasmas of hydrogen-containing fluorocarbon gas, including CHF3, CH2F2 and CH3F. The etch rate of Si3N4 and SiO2 is investigated as a function of O2 percentage in all plasma gases. Addition of O2 in feed gases causes plasma gas phase change especially H density. The SiO2 etch rate decreases with increase of O2 percentage due to the decline of CFx etchant. The Si3N4 etch rate is found to be strong correlated to the H density in plasma gas phase. H can react with CN by forming HCN to reduce polymer thickness on Si3N4 surface and promote the removal of N atoms from the substrate. Thus the Si3N4 etch rate increases with H intensity. As a result, a relative high selectivity of Si3N4 over SiO2 can be achieved with addition of suitable amount of O2 which corresponds to the maximum of H density.  相似文献   

17.
Phase-change nonvolatile memory cell elements composed of Sb2Te3 chalcogenide have been fabricated by using the focused ion beam method. The contact size between the Sb2Te3 phase change film and electrode film in the cell element is 2826 nm2 (diameter: 60 nm). The thickness of the Sb2Te3 chalcogenide film is 40 nm. The threshold switching current of about 0.1 mA was obtained. A RESET pulse width as short as 5 ns and the SET pulse width as short as 22 ns for Sb2Te3 chalcogenide can be obtained. At least 1000 cycle times with a RESET/SET resistance ratio >30 times is achieved for Sb2Te3 chalcogenide C-RAM cell element.  相似文献   

18.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

19.
The plasmochemical etching of SiO2 in CF4 + O2 plasma is considered. During the experiment SiO2 films are etched in CF4 + O2 plasma at temperatures of 300 and 350 K. The dependences of plasmochemical etching rates of SiO2 on O2 content in the feed are measured. The experimental measurements are compared with theoretical calculations. The obtained theoretical results are used to predict the real dimensions of etched trenches. It is found that decrease in temperature reduces lateral undercutting due to decreased desorption of formed SiF4 molecules from the sidewalls.  相似文献   

20.
An investigation of the Ba2Ti9O20 (BTO) and Pt thin films etch mechanism in the Cl2/Ar inductively coupled plasma was carried out. It was found that an increase in Ar mixing ratio at fixed gas pressure and input power causes a fast decrease in the BTO etch rate (26.9-1.2 nm/min for 0-100% Ar) while the Pt etch rate increases slightly from 17.4-23.0 nm/min. Langmuir probe diagnostics and zero-dimensional plasma modeling provided the data on plasma parameters, steady-state composition and fluxes of active species on the etched surface. From the model-based analysis of etch kinetics, it was shown that the behavior of the BTO etch rate corresponds to the reaction-rate-limited etch regime, where the etch rate is limited neither by physical sputtering of the main material nor by the ion-stimulated desorption of low-volatile reaction products. The etch process of Pt appears in the transitional regime and is controlled by the neutral and ion fluxes together.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号