首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Since the first report in 2007, polydopamine (PDA) coating has shown great potential as a general and versatile method to create functional nanocoatings on arbitrary substrates. Slow kinetics and poor controllability of the coating and secondary modification processes, however, have limited the further development of this attractive method. In this work, it is demonstrated that UV irradiation at 365 nm significantly accelerates the process of secondary modification of a PDA‐coated surface. The kinetics of both thiol and amine modifications of PDA are increased 12‐fold via UV irradiation, while the kinetics of metal ion reduction at the PDA interface is increased more than 550 times. Moreover, it is demonstrated that irradiating a PDA/metal nanoparticle composite surface with UV light at 254 nm leads to dissolution of the deposited metal nanoparticles (MNPs). Finally, grayscale metallic patterns, dynamic deposition, and removal of MNPs on PDA surface are realized with the proposed method.  相似文献   

2.
In ArF lithography for sub-80 nm L/S, amorphous carbon layer (ACL) deposition becomes an inevitable process, because thin ArF resist itself cannot provide suitable etch selectivity to sub-layers. One of the problems of the ACL hardmask is the presence of surface particles, which are more problematic in mass production. Limited capacity, high cost-of-ownership, and low process efficiency also make ACL hardmasks a dilemma, which cannot be ignored by device makers. One of the answers to these problems is using a spin-on organic hardmask (SOH) material instead of ACL hardmask. Therefore, several processes including bi-layer resist process, tri-layer resist process (TLR), and multi-layer resist process have been investigated. In this paper, we have described new SOH materials applicable to 70 nm memory devices. Applications to the TLR were investigated in terms of photo property, etch property and process compatibility. Based on the test results described in this paper, our spin-on organic hardmask materials are expected to be used in mass production.  相似文献   

3.
The line edge profile simulation of a tone-switching resist system, obtained from the dissolution model of dual-sensitized, novolak-based resist in aqueous developer, is described. The model incorporates the actinic response of both a positive and a negative sensitizer in a two-pattern lithographic process that simultaneously exposes the same resist film. These response data are combined with dissolution rate measurements to establish a model for the resist and carry out SAMPLE simulation of resist line edge profiles for contact and projection printing. The model predictions are compared with SEM micrographs of exposed resist features  相似文献   

4.
The criteria for selecting effective solvent systems for wet development of polymer resist materials are explored. Methods of estimating the polymer–solvent interactions are described, including the use of solubility parameters and the Flory–huggins interaction parameter. Methods of achieving θ-conditions, which represent minimal solvent–precipitant mixtures, or the more novel approach of establishing cosolvent systems are described. The rate dissolution has also bee identified as a controlling parameter. Some optical and gravimetric methods for measuring dissolution rates for polymer films are outlined. Examples of the influence of these factors on the sensitivity and contrast of resists such as poly(methylmethacrylate) and poly(p-methyl styrene-stat-chloromethyl styrene) are described.  相似文献   

5.
在液晶显示器的制造过程中,光刻是极为重要的制造工艺过程之一。将厚的独立的负胶膜或者将光刻胶涂敷在二氧化硅衬底上以后,可以测量其膜厚,因为光刻胶膜厚决定其光刻工艺的工艺条件。能够快速地测量光刻胶的膜厚,是液晶显示器制造过程的先决性工作的一部分。文章提出了测量上述光刻胶膜厚的新方法,即利用紫外可见吸收光谱法中的Beer-Lambert定律来确定膜厚。在我们的研究中,采用acrylic负胶作为基质(resin) ,它分别具有50μm和100μm的膜厚。在350 nm时,50μm的薄膜的最大吸收为0 .728 ,而100μm的最大吸收为1 .468 5。而在正胶的研究中,采用novolac作为基质(resin)。它的膜厚通常是1 ~5μm。在紫外可见吸收光谱测膜厚的实验中,当重氮荼醌的吸收波长为403 .8 nm时,5 .93μm厚的薄膜的最大吸收为1 .757 4 ,其膜厚是由扫描电镜测得的。而另一个正胶薄膜在403 .8 nm的最大吸收为0 .982 3 ,其薄膜厚度计算得到为3 .31μm。利用这些数据,我们得到了这两种光刻胶薄膜的紫外可见吸收光强与其膜厚关系的两个校准曲线。  相似文献   

6.
An experimental set-up based on multiwavelength interferometry, is applied in order to study in-situ the dissolution process of thin resist films. The interference function was the basis for a fitting algorithm, which analyses the experimental data and evaluates the progress of the resist thickness with time. The dissolution of various PMMA molecular weights (15 K, 350 K, 996 K) and resist thicknesses (20-300 nm), in various developers, consisting of mixtures of methyl iso butyl ketone (MIBK), iso propanol (IPA), H2O at various relative concentrations, was studied. Surface dissolution inhibition was shown in unexposed resists with high molecular weights and increased thickness in the case of MIBK-IPA 1-1 and IPA-H2O 7-3 developers. After that the whole dissolution process evolved at a steady rate. Dissolution of thick films proved to be unpredictable showing complex dissolution curves. Low molecular weight resists presented a smooth dissolution curve without dissolution inhibition. Samples exposed with small DUV doses, exhibited dissolution behavior similar to the unexposed cases, whereas high exposure doses, within the lithographically useful range, led to smooth dissolution behavior. In the case of MIBK-IPA 1-3 developer significant swelling was observed.  相似文献   

7.
8.
The plasma developable positive UV-resists ‘PDPUV’ are one component systems, consisting of acrylic copolymers with silicon containing side groups, which can be split off upon exposure with UV light. In a subsequent hardbake, the silicon-containing species can be removed from the exposed resist, providing higher etch rates in an oxygen plasma of the exposed as compared to the unexposed areas thus giving rise to positive tone imaging.Due to their high optical extinction at the exposure wavelength (e.g. 254 nm) and due to their high stability in the oxygen plasma, the PDPUV are idealy applicable in a bilevel resist scheme; e.g. a 0.34 μm thick PDPUV layer on top of a 2.0 μm polyimide film may be imaged with a 250 mJ/cm2 exposure at 254 nm. After hardbake the image in the PDPUV layer is developed and transferred into the polyimide by means of an RIE-O-plasma, yielding a 2.00 μm deep relief image in the polyimide.  相似文献   

9.
《Microelectronic Engineering》2007,84(5-8):1096-1099
The behaviour of a new epoxy based resist (mr-EBL 6000.1 XP) as a negative resist for e-beam lithography is presented. We demonstrate that it is possible to define sub-100 nm patterns when irradiating thin (120 nm) layers of resist with a 10 keV electron beam. The dependence of resolution and remaining thickness on electron dose, electron energy and photo acid generator (PAG) content is determined. After the electron beam lithography process, the resist is used as a mask for reactive ion etching. It presents a good etch resistance, that allows transfer of patterns to the substrate with resolution below 100 nm.  相似文献   

10.
王志玮  徐秋霞 《微电子学》2005,35(1):93-96,99
进入超深亚微米领域以后,传统CMOS器件遇到了器件物理、工艺技术等方面难以逾越的障碍.普遍认为,必须引入新结构和新材料来延长摩尔定律的寿命.其中,双栅CMOS被认为是新结构中的首选.在制作平面型双栅MOS器件中,采用自对准假栅结构,利用UHV外延得到有源区(S、D、G),是一种制作自对准双栅MOSFET的有效手段.文章详细研究了一种假栅制作技术.采用电子束曝光,结合胶的灰化技术,得到了线宽为50 nm的胶图形,并用RIE刻蚀五层介质的方法,得到了栅长仅为50 nm的自对准假栅结构.  相似文献   

11.
Takasu  Y. Todokoro  Y. 《Electronics letters》1984,20(24):1013-1014
An edge-defined technique for the fabrication of submicrometre resist patterns is described. The technique consists of resist pattern fabrication, deep UV hardening, plasma deposition, spin coating of resist, developing back, and wet etch. A 100 nm line in 1.2 ?m-thick resist layer is obtained with essentially vertical walls.  相似文献   

12.
A new silated acidic polymer was developed as the resist for nanoimprint lithography on flexible substrates. This polymer was synthesized from methylmethacrylate, n-butylacrylate, methacrylic acid and 3-[tris(trimethylsiloxy)silyl]propyl methacrylate by free radical copolymerization with an azobisisobutyronitrile (AIBN) initiator at 90 °C. The resist has excellent reactive ion etching (RIE) resistability, a lower Tg (43 °C) compared to poly(methyl methacrylate) (PMMA) and good flowability. It is suitable to use on flexible plastic substrates. The resist can be easily removed by an aqueous base solution at the final stripping step, instead of using an organic solvent or RIE. A 100 nm/50 nm (line/space) feature pattern was obtained on a flexible polyethylene terephthalate (PET)/ITO substrate.  相似文献   

13.
Current resist materials cannot simultaneously meet the sensitivity, resolution and line width roughness (LWR) requirements set out by the International Technology Roadmap for Semiconductors (ITRS) for the 32nm node and beyond. Here we present a fullerene‐based, chemically amplified resist system, which demonstrates the potential to fulfill these requirements for next generation lithography. A chemically amplified fullerene resist was prepared, consisting of the derivative MF07‐01, an epoxide crosslinker, and a photoacid generator, such as triarylsulfonium hexafluoroantimonate. The sensitivity of this resist was shown to be between 5 and 10 µC cm?2 at 20 keV for various combinations of post‐application bake and post‐exposure bake conditions. Using 30 keV electron beam exposure, sparse patterns with 15 nm resolution were demonstrated, whilst for dense patterns a half‐pitch of 25 nm could be achieved. The LWR for the densely patterned features is ~4 nm. The etch durability of the fullerene CA system was shown to be comparable to that of SAL601, a common novolac‐based commercial resist, at almost four times that of silicon.  相似文献   

14.
One of the essential tasks in the dose control for fabrication of 2-D and 3-D patterns using electron-beam lithography is estimation of remaining resist profiles after development. A conventional approach is to compute the exposure distribution for a target pattern through convolution with the point spread function (PSF) and then obtain the resist profile via simulation of the development process based on the exposure distribution. A new approach which does not require calculation of the exposure distribution and simulation of the resist development is proposed. It utilizes a set of experimental results on which estimation of the resist profile is based, and has a good potential to provide an alternative to the conventional approaches. In this paper, the proposed approach is described in detail along with the results obtained from an extensive simulation and also experiments.  相似文献   

15.
Sensitizer concentration is optimized for a new negative photoresist, MRL (Micro Resist for Longer wavelengths) with the assistance of computer simulation. The resist, which has photosensitivity in the ordinary UV region, resembles a deep UV resist MRS in terms of light absorption characteristic. It is found that a photosensitizer concentration of 20 wt% (based on the resin) is suitable for a reduction projection exposure system that utilizes UV light at 365 nm. A steep profile resist image of 0.7-µm lines and 0.7-µm spaces in a 1.0-µm thick resist layer is obtained using the MRL of optimized composition and the exposure system.  相似文献   

16.
Chemical interaction of resist and substrate at the interface, which modifies the dissolution reaction, has degraded sidewall profile of resist features. Depending on the nature of the residue on the substrate, the “bottom pinching” (BP) effect and footing are observed, especially for chemically amplified (CA) resists. The BP effect is observed for CA resist on top of organic bottom antireflection coating (BARC). The BP effect is attributed to the acid generated from the underlying organic BARC. With optimization on softbake temperature of BARC, the BP effect is eliminated. On a silicon nitride surface, new chemical information has been obtained which explains “footing” and BP effects in CA resists. X-ray photoelectron spectroscopy (XPS) measurements indicate that the residual alkaline molecules on the nitride surface play a major role in the formation of footing. It appears that the organic contaminants are not responsible for footing. Less severe footing is observed if the nitride surface is plasma-deposited with a thin oxide cap, which suppresses the surface basicity. However, extended plasma deposition causes resist BP. This is ascribed to the surface acidity of a newly formed oxide cap, which enhances the CA resist development process. Results show that the N (1 s) peak, after extended plasma treatment, has shifted to a higher binding state, which suggests that the nitride surface becomes acidic, causing BP  相似文献   

17.
Dense and well‐aligned arrays of TiO2 nanotubes extending from various substrates are successfully fabricated via a new liquid‐phase atomic layer deposition (LALD) in nanoporous anodic alumina (AAO) templates followed by alumina dissolution. The facile and versatile process circumvents the need for vacuum conditions critical in traditional gas‐phase ALD and yet confers ALD‐like deposition rates of 1.6–2.2 Å cycle?1, rendering smooth conformal nanotube walls that surpass those achievable by sol–gel and Ti‐anodizing techniques. The nanotube dimensions can be tuned, with most robust structures being 150–400 nm tall, 60–70 nm in diameter with 5–20 nm thick walls. The viability of TiO2 nanotube arrays deposited on indium tin oxide (ITO)–glass electrodes for application in model hybrid poly(3‐hexylthiophene) (P3HT):TiO2 solar cells is studied. The results achieved provide platforms and research directions for further advancements.  相似文献   

18.
The performance of Plasma Polymerized Methylsilane (PPMS) as a single layer and bilayer resist system at 248 nm advanced lithography are exposed. PPMS based photolithographic processes are shown to be extendible for use in 193 nm lithography.  相似文献   

19.
With an increasing use of emerging patterning technologies such as UV-NIL in biotechnological applications there is at the same time a raising demand for new material for such applications. Here we present a PEG based precursor mixed with a photoinitiator to make it UV sensitive as a new material aimed at biotechnological applications. Using HSQ patterned quartz stamps we observed excellent pattern replication indicating good flow properties of the resist. We were able to obtain imprints with <20 nm residual layer. The PEG based resist has hydrogel properties and it swelling in water was observed by AFM.  相似文献   

20.
《Microelectronic Engineering》2007,84(5-8):690-693
Near-field lithography (NFL) has no fundamental limit such as the diffraction limit of light. However, in order to fabricate resist patterns with hp 32 nm, thorough optimization of various processes are indispensable. Previously, we reported on the use of fine and ultra-thin top-layer resist, and designs and fabrication of our special masks. In this paper, the effect of the total resist thickness on the near-field distribution is analyzed by the finite-difference time domain analyses and compared with our experiments. For the fabrication of hp 32 nm patterns, the total resist thickness as well as the tri-layer resist process are accordingly optimized. By the near-field exposure using an i-line mercury lamp and the dry-etching process for thin top-layer photo-resist, we have successfully fabricated the hp 32 nm resist pattern of 120 nm height.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号