首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
As technology scales toward deep submicron, the integration of complete system-on-chip (SoC) designs consisting of large number of Intellectual Property (IP) blocks (cores) on the same silicon die is becoming technically feasible. Until recently, the design-space exploration for SoCs has been mainly focused on the computational aspects of the problem. However, as the number of IP blocks on a single chip and their performance continue to increase, a shift from computation-based to communication-based designs becomes mandatory. As a result, the communication architecture plays a major role in the area, performance and energy consumption of the overall systems [Pasricha S, Dutt N. On-chip communication architectures: system on chip interconnect. Amsterdam: Elsevier Inc.; 2008, Kim J, Verbauwhede I, Chang MCF. Design of an interconnect architecture and signaling technology for parallelism in communication. IEEE Trans VLSI Syst 2007;15(8):881-94].This article presents a structure of a wrapper as a component of Code Division Multiple Access, CDMA, based shared bus architecture in a SoC. Two types of wrappers can be identified, master and slave. A master wrapper is located between the arbiter and CDMA coded physical interconnect, while a slave connects the CDMA coded bus with memory/peripheral module. In the proposal, only bus lines that carry address and data signals are CDMA coded. We implemented a pair of master-slave wrapper described in VHDL and confirmed its functionality using testbenches. Also we synthesized wrappers using a Xilinx Spartan and Virtex devices to determine resource requirements in respect to a number of equivalent gates, communication bandwidth, latency and power consumption. Specifically we involved a Design_Quality, DQ, metric for wrapper performance evaluation. A pair of master-slave wrapper seems to occupy appropriate space, in average 2000 equivalent gates, considering CPU cost of about 30,000 gates, what is less than 8% of hardware overhead per CPU. We also present experimental results which show that benefits of involving CDMA coding relates both to decreasing a number of bus lines and accomplishing simultaneous multiple master-slave connections at relatively low-power consumption and high communication bandwidth. Convenient range indices RW and RR to determine data transfer rate for Write and Read operations in multiprocessor bus systems that use TDMA and CDMA data transfer techniques. The obtained results show that increased data transfer latencies involved by CDMA data transfer are compensated by simultaneous master-slave transfers.  相似文献   

2.
在片上系统(System on Chip,SoC)通信中,主机与从机进行通信时,为了实现主机与从机之间的高速寄存器读写操作,提出了一种由主机发起、从机响应、低位宽和高速率的总线传输协议。该总线由片选使能线、时钟传输线和双向数据传输线组成,分析了传输时主机写从机、主机读从机的总线行为,以及主机解析从机写操作和从机解析主机读操作的过程。采用VHDL语言实现了总线的硬件设计,并对总线的读写行为做了功能和性能测试,该总线接口可以满足实际应用需求。  相似文献   

3.
A bus architecture that provides high performance while scaling across a range of chip sizes is described. The system on a chip design in which it has been implemented includes both a dedicated processor with a set of embedded system peripherals and system support logic that may be reconfigured by a user in the field. Multiple masters and slaves are provided for in the architecture and included in the dedicated portion of this chip. Designers configure additional bus slave peripherals and support functions in the programmable logic. Dedicated structures extend the bus throughout the user-configurable system logic. The bus is pipelined, uses OR gates, and has separate read and write data. The bus pipeline registers are distributed to provide predictable performance and a synchronous interface to the designer. Bus protocol decoders are also distributed throughout the logic. These protocol decoders handle the complexities of pipelining for the designer. Virtual bus sockets provide all of the physical signals necessary to interface registers to the bus for single-cycle read and write transactions. The physical characteristics and design methods involved in the design of this system on a chip as well as those of the application environment all influenced the design tradeoffs in this architecture  相似文献   

4.
Test Wrapper and Test Access Mechanism Co-Optimization for System-on-Chip   总被引:9,自引:0,他引:9  
Test access mechanisms (TAMs) and test wrappers are integral parts of a system-on-chip (SOC) test architecture. Prior research has concentrated on only one aspect of the TAM/wrapper design problem at a time, i.e., either optimizing the TAMs for a set of pre-designed wrappers, or optimizing the wrapper for a given TAM width. In this paper, we address a more general problem, that of carrying out TAM design and wrapper optimization in conjunction. We present an efficient algorithm to construct wrappers that reduce the testing time for cores. Our wrapper design algorithm improves on earlier approaches by also reducing the TAM width required to achieve these lower testing times. We present new mathematical models for TAM optimization that use the core testing time values calculated by our wrapper design algorithm. We further present a new enumerative method for TAM optimization that reduces execution time significantly when the number of TAMs being designed is small. Experimental results are presented for an academic SOC as well as an industrial SOC.  相似文献   

5.
张荣锋  朱坚  夏文元  孙胜利 《红外》2008,29(1):41-45
本文介绍了PCI总线和MIL-STD-1553B总线转换的实现方法。该设计使用PLX公司的PCI9052和DDC公司的1553B协议芯片BU-61580,通过ALTERA公司的FPGA芯片EP1K100进行PCI协议和1553B协议的转换。使用Visual C 完成对PCI的读写操作,进而控制61580芯片实现1553B总线的数据传输。最后成功实现了用计算机通过PCI卡完成1553B总线控制器(BC端)的功能,并通过了系统测试。  相似文献   

6.
System-on-chip (SOC) design based on intellectual property (IP) cores has become a growing trend in integrated circuit (IC) design. Testing of such cores is a challenging problem, especially when these cores are deeply embedded in the system chip. The wrapper of the P1500 standard can facilitate the testing of such cores; however, a full-size wrapper is expensive because the hardware overhead is large. If the requirement for testing I/O pins of IP cores is considered and reduced to a minimum during the core design, SOC designers will need to put much less effort into testing the cores. In this paper, a built-in self-test (BIST) technique, which is applicable to both analogue and mixed-signal integrated circuits and is based on the weighted sum of selected node voltages, is proposed. Besides high fault coverage, the proposed BIST technique needs only one extra testing output pin, and only a single dc stimulus is needed to feed at the primary input of the circuit under test (CUT). Hence, the proposed BIST technique is especially suitable for testing IP cores.  相似文献   

7.
Embedded cores in a core-based system-on-chip (SOC) are not easily accessible via chip I/O pins. Test-access mechanisms (TAMs) and test wrappers (e.g., the IEEE Standard 1500 wrapper) have been proposed for the testing of embedded cores in a core-based SOC in a modular fashion. We show that such a modular testing approach can also be used for emerging three-dimensional integrated circuits based on through-silicon vias (TSVs). Core-based SOCs based on 3D IC technology are being advocated as a means to continue technology scaling and overcome interconnect-related bottlenecks. We present an optimization technique for minimizing the post-bond test time for 3D core-based SOCs under constraints on the number of TSVs, the TAM bitwidth, and thermal limits. The proposed optimization method is based on a combination of integer linear programming, LP-relaxation, and randomized rounding. It considers the Test Bus and TestRail architectures, and incorporates wire-length constraints in test-access optimization. Simulation results are presented for the ITC 02 SOC Test Benchmarks and the test times are compared to that obtained when methods developed earlier for two-dimensional ICs are applied to 3D ICs. The test time dependence on various 3D parameters (e.g. 3D placement, the number of layers, thermal constraints, and the number of TSVs) is also studied.  相似文献   

8.
As the complexity and size of the embedded memories keep increasing, improving the yield of embedded memories is the key step toward improving the overall chip yield of a SOC design. The most well known way to improve the memory yield is by using redundant elements to replace the faulty cells. However, the repair efficiency mainly depends on the type, and the amount of redundancy; and on the redundancy analysis algorithms. Therefore, new types of redundancy based on divided bit-line (DBL), and divided word-line (DWL) techniques are proposed in this work. A memory column (row), including the redundant column (row), is partitioned into column blocks (row blocks), respectively. A row/column block is used as the basic replacement element instead of a row/column for the traditional approaches. Based on the new types of redundancy, three types of fault-tolerant memory (FTM) systems are also proposed. If a redundant row/column block is used as the basic replacement element, then the row block-based FTM (RBFTM)/column block-based (CBFTM) system is used. If both the DWL, and DBL techniques are implemented onto a memory chip, then the hybrid FTM (HFTM) system is achieved. The storage and remapping of faulty addresses can be implemented with a CAM (content addressable memory) block. To achieving better repair efficiency, a novel hybrid block-repair (HBR) algorithm is also proposed. This algorithm is suitable for hardware implementation with negligible overhead. For the HFTM system, the hardware overheads are less than 0.65%, and 0.7% for 64-Kbit SRAM, and 8-Mbit DRAM, respectively. Moreover, the repair rate can be improved significantly. Experimental results show that our approaches can improve the memory fabrication yield significantly. The characteristics of low power and fast access time of DBL and DWL techniques are also preserved.  相似文献   

9.
A modify wrapper/test access mechanism(TAM) structure is described to explore the maximal potential capacity of TAM, named “IP cores resource multiplexing(IPRM)”, reducing test application time for DVFS-based multicore System-on-Chips(MSoCs). The IPRM core wrappers, different from standard wrappers, enable to isolated core wrapper resource again to store test data for embedded cores under test. An integer linear programming (ILP) formulation with IPRM wrapper is proposed to improve multi-site test. Experimental results of the ITC’02 SoC Benchmark show that IPRM core wrapper reduces the burdens on ATE effectively, and can reduce the test application time by 10–50%.  相似文献   

10.
印琴  于宗光  魏敬和  蔡洁明 《微电子学》2014,(6):785-788, 792
设计实现了一种消息重试灵活的增强型1553B总线控制器。采用不同于传统1553B总线控制器的存储器管理结构,将操作指令以OP码的形式配置在存储器的相应地址中,从而在总线控制器发生消息重试时,使重试的消息能灵活地变动,并且能重试多次。仿真结果表明,该增强型总线控制器使得消息重试更加灵活,有效地提高了消息重试的成功率和数据传输的可靠性。该总线控制器已应用于1553B协议芯片,并经流片验证。  相似文献   

11.
蔺增金  杨海钢   《电子器件》2007,30(3):733-737
首先根据生化微传感SOC的应用场合和微传感器的特点,选定CR SARADC作为片内嵌入类型;基于SOC的标准CMOS工艺实现和低功耗的设计目标,分别进行了电容阵列、比较器、开关阵列和SAR控制逻辑等组成单元全定制原理图、版图设计,实现了片内嵌入10位ADC的整体芯片.流片实测结果DNL、INL最大值分别为+/1.0LSB、+/-1.5LSB,功耗仅为4.62mW,满足生化微传感SOC数据转换的片内嵌入要求.  相似文献   

12.
为提高LonWorks总线的控制能力,设计了以单片机AT89S51为主的处理器、神经元芯片MC143150为从处理器的LonWorks节点,主处理器和从处理器之间的接口采用I2C通信总线,节省了神经元芯片的I/O硬件资源,同时也减小了节点的体积。LonWorks节点利用Neuron芯片中声明的I2C对象和单片机AT89S51模拟的I2C总线完成主、从处理器间的数据交换。测试证明,主从处理器采用I2C总线的节点,具有良好的通信性能。  相似文献   

13.
In this paper a mathematical formulation and an efficient solution, of the embedded core-based system-on-chip (SOC) test scheduling problem (ECTSP) is presented. The ECTSP can be stated as follows; given a chip with N C cores each having a test T i; where T i takes time to execute on a test access mechanism (TAM) of width w j, and a constraint W on the number of top-level test pins; calculate the TAM assignment vector and the schedule for each test T i, such that the completion time of the full chip test is minimized. All existing approaches have solved the ECTSP by solving the TAM partition and scheduling problem sequentially. In this paper we present an unified approach to solve the ECTSP. We present the first report of a design of reconfigurable core wrapper which allows for a dynamic change in the width of the test access mechanism (TAM) executing a core test. An automatic procedure for the creation of DfT hardware required for reconfiguration using a graph theoretic representation of core wrappers is also presented. For the case of reconfigurable wrappers, efficient algorithms to compute the schedule are presented based upon some recent results in the field of malleable task scheduling. Cases in which the degree of reconfigurability are constrained are considered; the case when only a single core can have reconfigurable wrapper, a schedule with zero TAM idle time can be found in time O(N C(N C + W)lgW), and the case when only 2 different wrapper configurations are allowed can be solved in time O(N C 3). Comparison with existing results on benchmark SOCs show that our algorithms outperform state-of-art ILP formulations not only in schedule makespan, but also significantly reduce computation time.  相似文献   

14.
文章介绍了一个面向SOC设计的可变规模的LeD驱动IP核,该IP包括四个独立的LeD驱动单元(DU)。不仅可以通过配置该IP使四个独立的Du分别驱动不同规模的LCD,而且能够实现四个Du级联来面对更复杂的应用场合。此外,设计了一个与wishbone总线相兼容的接口模块wrapper,并将该IP结合wrapper模块嵌入到0R1200平台来进行系统级的仿真验证。仿真结果表明该IP达到了设计要求,且通过修改wrapper模块可使该IP核适用于不同的SOC设计平台。  相似文献   

15.
在SD存储卡设计讨论的基础上给出了实现过程,讨论开发平台.围绕提高速度设计和实现了系统架构,开发环境,基本模块设计和读写模块设计.通过这样的实现,可以使读写的速度达到20MB/s、12MB/s.通过W86L388D桥接芯片,控制器和Nand Flash芯片两块大的芯片实现SD长硬件部分.通过四大模块来设计和实现控制器,...  相似文献   

16.
介绍了一款多媒体应用SOC(片上系统)芯片ATJ2135,并给出了基于ATJ2135的MP3播放器的设计。采用ATJ2135的嵌入式处理器,结合μC/OS-Ⅱ实时操作系统移植实现了嵌入式操作系统,完成应用程序和用户数据的处理。采用ATJ2135的嵌入式DSP,结合音频解码算法实现软件模式的MP3文件解码。给出了软硬件设计、MP3解码流程、实时操作系统设计、软硬件调试等嵌入式系统的设计与实现。  相似文献   

17.
Rapid advances in semi-conductor technology have made timing-related defects increasingly crucial in core-based system-on-chip designs. Currently, modular test strategies based on IEEE Standard 1500 are applied to test the functionality of each embedded core in system-on-chip (SoC) designs but fail to verify the corresponding timing specifications. In this paper, to achieve high quality of delay tests, hardware implementation of an embedded Delay Test Framework including the modified test wrappers and the Embedded delay test mechanism is presented to build an entirely embedded delay test environment where at-speed clock is applied inside the chip to increase test accuracy. Additionally, the proposed delay test framework is capable of supporting all current solutions of core-based delay test. The experimental results successfully demonstrate the delay testing application using the proposed framework to a Crypto Processor with satisfying test quality and effectiveness.   相似文献   

18.
Many system-on-chips (SOCs) today contain both digital- and analog-embedded cores. Even though the test cost for such mixed-signal SOCs is significantly higher than that for digital SOCs, most prior research in this area has focused exclusively on digital cores. We propose a low-cost test development methodology for mixed-signal SOCs that allows the analog and digital cores to be tested in a unified manner, thereby minimizing the overall test cost. The analog cores in the SOC are wrapped such that they can be accessed using a digital test access mechanism (TAM). We evaluate the impact of the use of analog test wrappers on area overhead and test time. To reduce area overhead, we present an analog test wrapper optimization technique, which is then combined with TAM optimization in a cost-oriented heuristic approach for test scheduling. We also demonstrate the feasibility of using analog wrappers by presenting transistor-level simulations for an analog wrapper and a representative core. We present experimental results for three SOCs from the ITC '02 test benchmarks that have been augmented with three analog cores: an I-Q transmit path pair and an audio CODEC path used in cellular phone applications.  相似文献   

19.
翁斌 《电子质量》2013,(1):44-46
详细阐述了一种用于嵌入式系统中的USB总线接口电路,该电路是基于USB专用芯片CH375实现的,并给出了系统硬件实现和应用程序。实验结果表明,该电路具有成本低、可靠性高等特点,可方便地集成到各种嵌入式系统中。  相似文献   

20.
System-on-chip test scheduling with reconfigurable core wrappers   总被引:1,自引:0,他引:1  
The problem with increasing test application time for testing core-based system-on-chip (SOC) designs is addressed with test architecture design and test scheduling. The scan-chains at each core are configured into a set of wrapper-chains, which by a core wrapper are connected to the test access mechanism (TAM), and the tests are scheduled in such a way that the test time is minimized. In this paper, we make use of reconfigurable core wrappers that, in contrast to standard wrappers, can dynamically change (reconfigure) the number of wrapper-chains during test application. We show that by using reconfigurable wrappers the test scheduling problem is equivalent to independent job scheduling on identical machines, and we make use of an existing preemptive scheduling algorithm that produces an optimal solution in linear time (O(n); n is the number of tests). We also show that the problem can be solved without preemption, and we extend the algorithm to handle: 1) test conflicts due to interconnection tests and 2) cases when the test time of a core limits an optimal usage of the TAM. The overhead in logic is given by the number of configurations, and we show that the upper-bound is three configurations per core. We compare the proposed approach with the existing technique and show, in comparison, that our technique is 2% less from lower bound.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号