首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 468 毫秒
1.
Aurora®ELK films were fabricated by PE-CVD of a SiCOH matrix precursor and an organic porogen material. The porogen material is removed during a subsequent thermally assisted UV-cure step with a short wavelength UV-lamp (λ < 200 nm). This results in film thickness shrinkage of 13.2% and a robust low-k film with k-value ∼ 2.3, elastic modulus ∼5.0 GPa and intrinsic film stress ∼59 MPa. The microscopic film properties during UV-cure were evaluated by FT-IR. A decrease in the CHx peak area is related to the porogen removal from the film resulting in a reduced dielectric constant. The decrease of the Si-CH3 peak and increase in the SiO network area are associated to the network restructuring and increase in elastic modulus. The nature of the Si-H peaks which appear during UV-cure has to be investigated carefully to determine their impact on film reliability. The dielectric diffusion barrier can work as an UV absorption layer which reduces UV-curing of underlying layers and possible UV reflections on interfaces. The SiCN/A-SiCO diffusion barrier film properties during UV-cure show a decrease in k-value, increase in intrinsic film stress and a slight increase in leakage. More research is needed to evaluate the impact of porogen removal by UV-cure on BEOL integration.  相似文献   

2.
Schottky contacts were fabricated on n-type GaN using a Cu/Au metallization scheme, and the electrical and structural properties have been investigated as a function of annealing temperature by current-voltage (I-V), capacitance-voltage (C-V), Auger electron spectroscopy (AES) and X-ray diffraction (XRD) measurements. The extracted Schottky barrier height of the as-deposited contact was found to be 0.69 eV (I-V) and 0.77 eV (C-V), respectively. However, the Schottky barrier height of the Cu/Au contact slightly increases to 0.77 eV (I-V) and 1.18 eV (C-V) when the contact was annealed at 300 °C for 1 min. It is shown that the Schottky barrier height decreases to 0.73 eV (I-V) and 0.99 eV (C-V), 0.56 eV (I-V) and 0.87 eV (C-V) after annealing at 400 °C and 500 °C for 1 min in N2 atmosphere. Norde method was also used to extract the barrier height of Cu/Au contacts and the values are 0.69 eV for the as-deposited, 0.76 eV at 300 °C, 0.71 eV at 400 °C and 0.56 eV at 500 °C which are in good agreement with those obtained by the I-V method. Based on Auger electron spectroscopy and X-ray diffraction results, the formation of nitride phases at the Cu/Au/n-GaN interface could be the reason for the degradation of Schottky barrier height upon annealing at 500 °C.  相似文献   

3.
The fabrication of narrow Cu trenches using a conformal TEOS backfill approach is shown. Cu trenches with widths down to 30-40 nm were achieved. With an adequate Ta-based PVD barrier and Cu seed layer scheme, narrow Cu lines with high yield were obtained. An increase of the electrical resistivity in the narrowest dimensions was observed as a result of the size effect. Electromigration assessment demonstrated that a bilayer TaN/Ta barrier outperforms the monolayer Ta barrier. Electron backscattering diffraction (EBSD) analysis was carried out to determine grain orientation and texture in narrow copper trenches. For the first time, EBSD data reveal that Cu trenches down to 30-40 nm wide have mostly a random texture. The narrower the Cu lines get, the weaker the (1 1 1) texture with both monolayer and bilayer Ta-based barriers.  相似文献   

4.
As an alternative to W contacts currently used in MOSFETs for DRAM, Cu contacts using self-aligned Ta-silicide and Ta-based barrier were studied experimentally. The silicidation of PVD Ta layers was studied first on 300 mm blanket Si wafers. The developed method was applied to patterned wafers in the contacts, that land on poly gate and active areas of NMOS, with a sequence including the PVD of Ta, a silicidation annealing, a Ta-based Cu diffusion barrier and a Cu seed for plating the Cu plug. X-ray diffraction (XRD), X-ray reflection (XRR) and sheet resistance tests of the blanket wafers show that a Ta layer of about 10 nm reacts with Si substrate and forms TaSi2 at 650 °C in a reducing ambient. Cross-sectional SEM observation reveals that the selected processing flow fills the 90 nm contacts. Top-view SEM observation on the samples after 420 °C sintering demonstrates that the Cu diffusion barrier is effective. Ion-Ioff curves of the devices show a performance for NMOS comparable to the reference samples which use Ni(Pt)Si and the same barrier and Cu contacts, indicating that the stack of the barrier/TaSi2/p-type Si has a contact resistance comparable to the barrier/Ni(Pt)Si/p-type Si.  相似文献   

5.
In this paper, the 5 nm ZrN diffusion barrier was deposited by high vacuum magnetron sputtering method on Si substrate and the 300 nm Cu(Zr) alloy film or Cu film was sputtered on ZrN barrier without break vacuum. The self-formation graded Zr/ZrN diffusion barrier was obtained by annealing Cu(Zr)/ZrN bilayer system in N2/H2 (10% H2) atmosphere. The X-ray diffraction (XRD) and four-point probe method were used to study graded Zr/ZrN diffusion barrier. The results revealed that the self-formation Zr barrier and ZrN barrier all obviously improved the thermal stability of Cu/Si system.  相似文献   

6.
We have investigated the effects of fluoride residue on the thermal stability of a Cu/barrier metal (BM)/porous low-k film (k < 2.3) structure. We confirmed that the Cu agglomerated more on a BM/inter layer dielectric (ILD) with a fluoride residue. To consider the effect of fluoride residue on Cu agglomeration, the structural state at the Cu/BM interface was evaluated with a cross-section transmission electron microscope (TEM) and atomic force microscope (AFM). And the chemical bonding state at the Cu/BM interface was evaluated with the interface peeling-off method and X-ray photoelectron spectroscopy (XPS). Moreover, we confirmed the oxidation of Cu with fluoride in accelerated conditions to clarify the effect of fluoride on Cu. Our experiments suggested that the fluoride residue led to the formation of a metal fluoride, and this accelerated the Cu agglomeration accompanying an increase in Cu oxidation.  相似文献   

7.
Diffusion barrier properties of Ta films with and without plasma treatments have been investigated in the study. The nitrogen-incorporated Ta films were prepared by NH3 plasma treatment or reactive sputtering. Barrier properties were evaluated by sheet resistance, X-ray diffraction, transmission electron microscopy, X-ray photoelectron spectroscopy and reverse-biased junction leakage current. An amorphous-like TaNx layer was formed on Ta barrier film after plasma treatments. The thickness of the amorphous TaNx layer is about 3 nm and NH3 plasma-treated Ta films (TaNx/Ta) possess lower resistivity and smaller grain sizes. The Cu/TaNx/Ta(10 nm)/Si remained stable after annealing at 750 °C for 1 h. NH3 plasma-treated Ta films (TaNx/Ta) possess better thermal stability than Ta and TaN films. It is attributed to the formation of a new amorphous layer on the surface of Ta film after the plasma treatments. For thermal stability of Cu/Ta(-N)/n+-p diodes, Cu/Ta/n+-p and Cu/TaN/n+-p junction diodes resulted in large reverse-bias junction leakage current after annealing at 500 and 525 °C, respectively. On the other hand, TaNx/Ta diffusion barriers will improve the integrity of Cu/Ta(-N)/n+-p junction diodes to 650 °C.  相似文献   

8.
Ultrathin Vanadium nitride (VN) thin film with thickness around 10 nm was studied as diffusion barrier between copper and SiO2 or Si substrate. The VN film was prepared by reactive ion beam sputtering. X-ray diffraction, Auger electron spectroscopy, scanning electron microscopy and current-voltage (I-V) technique were applied to characterize the diffusion barrier properties for VN in Cu/VN/Si and Cu/VN/SiO2 structures. The as-deposited VN film was amorphous and could be thermal stable up to 800 °C annealing. Multiple results show that the ultrathin VN film has good diffusion barrier properties for copper.  相似文献   

9.
We investigated the phase formation and texture of nickel silicides formed during the reaction of 10 nm sputter deposited nickel with Si1−xCx epitaxial layers on Si(1 0 0) substrates, having a carbon content between 0 and 2.5 atomic percent. It was found that both the formation temperature as well as the texture of the metal-rich phases is influenced by the amount of carbon in the Si1−xCx layer. To determine the influence of the location of the carbon during the silicidation process we also investigated the reaction of 10 nm nickel on Si(1 0 0) substrates, where carbon was either alloyed in the nickel layer or deposited as an interlayer at the interface between the nickel and the substrate. Depending on the location of the carbon, a different thermal stability of the layer was found.  相似文献   

10.
Hf-O-N and HfO2 thin films were evaluated as barrier layers for Hf-Ti-O metal oxide semiconductor capacitor structures. The films were processed by sequential pulsed laser deposition at 300 °C and ultra-violet ozone oxidation process at 500 °C. The as-deposited Hf-Ti-O films were polycrystalline in nature after oxidation at 500 °C and a fully crystallized (o)-HfTiO4 phase was formed upon high temperature annealing at 900 °C. The Hf-Ti-O films deposited on Hf-O-N barrier layer exhibited a higher dielectric constant than the films deposited on the HfO2 barrier layer. Leakage current densities lower than 5 × 10 A/cm2 were achieved with both barrier layers at a sub 20 Å equivalent oxide thickness.  相似文献   

11.
TaYOx-based metal-insulator-metal (MIM) capacitors with excellent electrical properties have been fabricated. Ultra-thin TaYOx films in the thickness range of 15-30 nm (EOT ∼ 2.4-4.7 nm) were deposited on Au/SiO2 (100 nm)/Si (100) structures by rf-magnetron co-sputtering of Ta2O5 and Y2O3 targets. TaYOx layers were characterized by X-ray photoelectron spectroscopy (XPS), energy dispersive X-ray (EDX) and X-ray diffraction (XRD) to examine the composition and crystallinity. An atomic percentage of Ta:Y = 58.32:41.67 was confirmed from the EDX analysis while XRD revealed an amorphous phase (up to 500 °C) during rapid thermal annealing. Besides, a high capacitance density of ∼3.7-5.4 fF/μm2 at 10 kHz (εr ∼ 21), a low value of VCC (voltage coefficients of capacitance, α and β) have been achieved. Also, a highly stable temperature coefficient of capacitance, TCC has been obtained. Capacitance degradation phenomena in TaYOx-based MIM capacitors under constant current stressing (CCS at 20 nA) have been studied. It is observed that degradation depends strongly on the dielectric thickness and a dielectric breakdown voltage of 3-5 MV/cm was found for TaYOx films. The maximum energy storage density was estimated to be ∼5.69 J/cm3. Post deposition annealing (PDA) in O2 ambient at 400 °C has been performed and further improvement in device reliability and electrical performances has been achieved.  相似文献   

12.
In this work, the thermal annealing effect on the metal gate effective work function (EWF) modulation for the Al/TiN/SiO2/p-Si(1 0 0) structure was investigated. Compared with the sample of TiN/SiO2/p-Si(1 0 0) structure, for the sample additionally capped with Al the flat band voltage has a very obvious shift as large as 0.54 V to the negative direction after forming gas annealing. It is also revealed that the thermal budget can effectively influence both the EWF of the gate electrode and the thickness of the gate dielectric layer when a post annealing at 600 °C with different soak times was applied to the samples with Al cap. Material characterization indicates that the diffusion of Al and the formation of Al oxide during annealing should be responsible for all the phenomena. The interface trap density Dit calculated from the high-frequency C-V and the laser-assisted high-frequency C-V curves show that the introduction of Al does not cause reliability problem in the Al/TiN/SiO2/p-Si structure.  相似文献   

13.
Yttrium silicide formation and its contact properties on Si(1 0 0) have been studied in this paper. By evaporating a yttrium metal layer onto Si(1 0 0) wafer in conventional vacuum condition and rapid thermal annealing, we found that YSi2-x begins to form at 350 °C, and is stable to 950 °C. Atomic force microscopy characterization shows the pinholes formation in the formed YSi2-x film. By current-voltage measurement, the Schottky barrier height (SBH) of YSi2-x diode on p-type Si(1 0 0) was shown to be between 0.63 and 0.69 eV for annealing temperature from 500 to 900 °C. By low temperature current-voltage measurement, the SBH of YSi2-x diode on n-type Si(1 0 0) was directly measured and shown to be 0.46, 0.37, 0.32 eV for annealing temperature of 500, 600, and 900 °C, respectively, and possibly even lower for annealing at 700 or 800 °C.  相似文献   

14.
With the increasing of the operating frequencies, insertion loss, signal propagation delay, and parasitic coupling capacitance become the significant problems. Small capacitance (C) between interconnects is required to reduce the crosstalk, insertion loss, and RC delay associated with the metal interconnect system. Therefore, the interconnect with low dielectric constant (k) material is required. Implementation of Cu/low-k dielectric is used for reducing insertion loss, RC delay, crosstalk noises, etc. In this work, Cu-hydrogen silsesquioxane (HSQ) systems are studied. Ammonia (NH3) plasma is employed for the nitridation of HSQ. The effects of NH3 plasma treatments on the high frequency characteristics (100 MHz to 20 GHz) of the interconnect structure Cu/Ta/HSQ and electrical behaviors of Cu/Ta/HSQ/Pt MIM capacitors are evaluated.Auger electron spectroscopy (AES) results suggest the diffusion of oxygen and copper atoms during copper annealing. This raises resistance of Cu interconnect and increases the conductance of the HSQ films. Hence, 400 °C-annealed Cu/Ta/HSQ interconnect systems become lossy at high frequencies (>2 GHz). Ammonia (NH3) plasma bombardments break some of the Si-H bonds and the resulting dangling Si bonds increase the moisture absorption. Meanwhile, NH3 plasma treatments reduce the leakage current by passivating the Si dangling bond and forming silicon nitride. The absorption of moisture and/or the formation of SiNx result in high dielectric constant of HSQ after prolonged NH3 plasma bombardment. The dielectric constant of HSQ decreases and then increases with the increase of NH3 plasma treatment time and a minimum dielectric constant of 2.2 is obtained after 50 s NH3 plasma treatment at 200 W. Among various specimens in this study, the smallest insertion loss is 1.97 dB/mm at 20 GHz for the 400°C-annealed Cu/Ta/HSQ (NH3-plasma-treated for 50 s). Appropriate NH3-plasma bombardment helps to form a thin SiNx barrier layer which prevents the diffusion of oxygen without increasing the dielectric constant of the Cu-HSQ interconnect system. The leakage currents versus electric field characteristics suggest that a Schottky emission dominate conduction mechanism.  相似文献   

15.
Ultra-thin films of Dy are grown on Ge(0 0 1) substrates by molecular beam deposition near room temperature and immediately annealed for solid phase epitaxy at higher temperatures, leading to the formation of DyGex films. Thin films of Dy2O3 are grown on the DyGex film on Ge(0 0 1) substrates by molecular beam epitaxy. Streaky reflection high energy electron diffraction (RHEED) patterns reveal that epitaxial DyGex films grow on Ge(0 0 1) substrates with flat surfaces. X-ray diffraction (XRD) spectrum suggests the growth of an orthorhombic phase of DyGex films with (0 0 1) orientations. After the growth of Dy2O3 films, there is a change in RHEED patterns to spotty features, revealing the growth of 3D crystalline islands. XRD spectrum shows the presence of a cubic phase with (1 0 0) and (1 1 1) orientations. Atomic force microscopy image shows that the surface morphology of Dy2O3 films is smooth with a root mean square roughness of 10 Å.  相似文献   

16.
TaCN films were deposited using atomic layer deposition (ALD) using PDMAT and H2/Ar plasma. Calculations based on density functional theory (DFT) indicate a high energy barrier and a low reaction energy for reducing the +5 Ta oxidation state in the PDMAT precursor by using pure H radicals. Through the assistance of Ar radicals, low resistivity of TaCN films of 230 μΩ cm could be deposited by using H2/Ar plasma. By employing in situ X-ray diffraction during annealing, the activation energy for Cu diffusion through the TaCN barrier was evaluated at 1.6 eV.  相似文献   

17.
We report on characterization of a set of AlGaN/GaN multiple-quantum-well (MQW) photodetectors. The model structure used in the calculation is the p-i-n heterojunction with 20 AlGaN/GaN MQW structures in i-region. The MQW structures have 2 nm GaN quantum well width and 15 nm AlxGa1−xN barrier width. The cutoff wavelength of the MQW photodetectors can be tuned by adjusting the well width and barrier height. Including the polarization field effects, on increasing Al mole fraction, the transition energy decreases, the total noise increases, and the responsivity has a red shift, and so the detectivity decreases and has a red shift.  相似文献   

18.
Self-assembled monolayers (SAMs) are investigated as potential Cu diffusion barriers for application in back-end-of-line (BEOL) interconnections. A screening of SAMs derived from molecules with different head group (SiCl3, Si(OCH3)3, Si(OCH3)Cl2) bonding to the dielectric substrate, chain lengths (n = 3-21) and terminal group (CH3, Br, CN, NH2, C5H4N and SH) bonding to the Cu overlayer are compared in terms of inhibition of interfacial Cu diffusion and promotion of Cu-SiO2 adhesion. SAM barrier properties against Cu silicide formation are examined upon annealing from 200 to 400 °C by visual inspection, sheet resistance measurements (Rs) and X-ray Diffraction Spectroscopy (XRD). Cu/SAM/SiO2 adhesion is evaluated by tape test and four-point probe measurements. Results indicate that NH2-SAM derived from 3-aminopropyltrimethoxysilane is the most promising for Cu diffusion barrier application. Silicide formation is inhibited to at least 400 °C, essential stability for BEOL integration. However, the 2.9 Gc (J/m2) adhesion of the layer compared with 3.1 Gc (J/m2) on SiO2 does need improvement.  相似文献   

19.
This paper reports on an investigation of interface state densities, low frequency noise and electron mobility in surface channel In0.53Ga0.47As n-MOSFETs with a ZrO2 gate dielectric. Interface state density values of Dit ∼ 5 × 1012 cm−2 eV−1 were extracted using sub-threshold slope analysis and charge pumping technique. The same order of magnitude of trap density was found from low frequency noise measurements. A peak effective electron mobility of 1200 cm2/Vs has been achieved. For these surface channel In0.53Ga0.47As n-MOSFETs, it was found that η parameter, an empirical parameter used to calculate the effective electric field, was ∼0.55, and is to be comparable to the standard value found in Si device.  相似文献   

20.
4H-SiC Schottky barrier diodes (SBDs) were fabricated and characterized from room temperature to 573 K using HfNxBy as Schottky electrodes. The results are compared to SBDs fabricated using other electrodes that include Ni, Pt, Ti and Au. The Schottky barrier height Φb for as-deposited HfNxBy/n−/n+ diodes, determined from room temperature current-voltage characteristics, is 0.887 eV. This is lower than those of SBDs fabricated using other metals such as Au, where Φb is 1.79 eV. The HfNxBy/n−/n+ diodes studied have a much higher on-resistance Ron of around 38.24 mΩ-cm2, which is about four times that of Au/n−/n+ diodes, due to the higher sheet resistance of the sputtered HfNxBy electrode layer. The barrier height Φb and ideality factor η of the HfNxBy/n−/n+ diodes remain almost unchanged after 400 and 750 °C anneal in N2. This suggests excellent thermal and chemical stability of HfNxBy in contact with 4H-SiC.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号