首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
PWM整流电路是交-直-交变流器的重要电路,是包括连续和离散事件的混杂系统,具有并发、异步等特点。Petri网是描述和分析异步并发现象的一种有效的混杂系统建模工具。本文以Petri网为工具,从一个全新角度,建立单相PWM整流电路的模型,对其电路特性进行了分析。  相似文献   

2.
本文分析中、小规模微型机测控系统中典型的光耦隔离电流环异步串行通信电路的一般特点,并讨论其改进措施。最后介绍了一微型机测控工程中实际应用的光耦隔离电流环异步串行通信接口电路。  相似文献   

3.
当采用编码器之类的输出脉冲来进行程序控制时,由于编码器输出的是异步脉冲,所以需要一个能从时钟脉冲中取得同步脉冲。本文介绍的就是这种能把异步信号的前沿及后沿进行逻辑微分的定时脉冲发生电路。定时脉冲发生电路的组成及其各点的波形图如图所示。  相似文献   

4.
同步电路由全局时钟信号周期性地驱动计算,而异步电路只在需要的时候才进行运算,因此异步电路具有天然的低功耗优势。当前的解同步异步电路设计方法仅根据同步电路的物理拓扑结构进行异步设计,而没有考虑同步电路的本身功能行为及所处理数据的特点。本文首先分析了物理拓扑结构、电路功能行为及处理数据对低功耗设计的影响,然后设计实现了一款低功耗异步乘法器。实验表明,实现的乘法器相对于传统解同步异步乘法器具有更低的功耗与更高的性能。  相似文献   

5.
本文介绍了一个三值平行故障模拟系统。该系统中,对元件的功能表达式采用了较有效的化简算法,使模拟运算的速度大为提高。并较好地处理了异步电路中出现的竞争、冒险、振荡现象,使该系统对组合逻辑电路、时序逻辑电路及部分异步电路均有较好的效果。  相似文献   

6.
异步电路由于没有时钟频率的限制,所以较同步电路有很多优点,其研究也越来越广泛,是未来解决计算机CPU设计的一种重要方案。异步电路的计算机辅助设计软件代表了异步电路当前研究的前沿,通过研究这些软件可以对异步电路的模型有更为深入的认识。论文整理列举了有关异步电路的63种软件工具,并将其分为设计、仿真、相关设计工具、前端设计、综合和验证6个方面。最后,在这些软件中选取两种设计软件对一个简单的例子进行了设计实现,以体现异步电路的设计特点。  相似文献   

7.
王瑞峰 《自动化仪表》2008,29(1):47-49,53
ADSP2106X的主机接口(HPI)可采用异步或同步两种方式与主机通信,从而可构成主从式系统.ADSP2106X主机接口采用异步方式与AT总线连接,以实现与PC机间通信,同时对用到的信号以及控制时序作了详细说明.设计了ADSP2106X主机接口在异步方式下与AT总线连接的具体电路,对该电路的工作原理进行了深入分析.详细讨论了使用该电路实现PC机与DSP间通信的方法,对于使用DSP主机接口构成主从式系统的设计具有很好的参考价值.  相似文献   

8.
AFMC:一种新的异步电路设计自动化流程   总被引:1,自引:1,他引:0  
随着VLSI面临的功耗及时钟问题越来越突出,异步电路及其设计方法得到了广泛关注.基于宏单元的异步电路设计流程能够采用现有的同步EDA工具和设计流程将同步电路转变成相应的异步电路.在基于宏单元的异步电路设计流程的基础上提出了一种新的异步电路设计自动化流程,并与解同步异步电路设计自动化流程进行了比较.在UMC 0.18μm工艺下采用提出的自动化流程设计实现了一款DLX异步微处理器,实验结果表明该流程能够快速地进行异步电路设计,并且在异步电路的数据通路性能优化方面具有一定的优势.相对于解同步DLX微处理器,采用基于宏单元的异步设计自动化流程实现的异步DLX微处理器能够获得6%左右的性能提高.  相似文献   

9.
异步电路相对同步电路而言具有无时钟偏斜、模块化程度高、功耗低、电磁兼容性强等优势,越来越受到人们的广泛关注.异步电路设计方法是异步电路研究中的一个重点,文中将异步电路设计方法的发展历程划分为3个阶段,并着重对第3个阶段的设计方法进行了综述.根据设计方法的描述方式和设计粒度,首先将第3阶段进一步划分为语法驱动转换的设计方法、同步-异步转换的设计方法和基于定制的细粒度高性能异步流水线设计方法3类;然后从设计方法的理论基础、电路模型、设计自动化程度、电路性能等多个角度进行介绍并比较.最后对异步电路设计方法未来的发展趋势进行了展望.  相似文献   

10.
为了对飞行体多通道信号进行实时参数采集,提出了异步时分数据采集电路的设计方法,同时对多通道异步时分数据采集电路中的通道串扰现象进行了深入研究,提出了控制逻辑的优化方法,以及关键器件选型的依据。通过现场试验,该采集电路成功采集了飞行体的实时工作参数。工程实践表明,该系统实现了对多通道模拟信号的高精度采集,满足了工程实际需求。  相似文献   

11.
在常规FPGA中设计了基于LUT的异步状态保持单元,实现了全局异步局部同步系统的接口电路、时钟暂停电路,进一步完成四相单轨握手协议。基于Quartus软件的逻辑锁定技术,采用Verilog HDL进行行为描述,构建了无冒险C单元库。在Altera CycloneⅡEP2C35F672C6器件上,完成了GALS系统的时序仿真,证明了四相单轨握手的正确性。  相似文献   

12.
分析了三相异步电动机的起动特性,基于matlab仿真软件对三相异步电动机直接起动和软起动的起动性能进行仿真。系统采用晶闸管交流调压电路作为软起动的主电路,控制回路由电流负反馈组成,构建了软起动仿真模型。仿真结果表明,限流部分采用电流反馈的闭环控制方法可以达到预期的控制效果,使电动机平稳可靠地起动。  相似文献   

13.
分析了三相异步电动机的起动特性,基于matlab仿真软件对三相异步电动机直接起动和软起动的起动性能进行仿真。系统采用晶闸管交流调压电路作为软起动的主电路,控制回路由电流负反馈组成,构建了软起动仿真模型。仿真结果表明,限流部分采用电流反馈的闭环控制方法可以达到预期的控制效果,使电动机平稳可靠地起动。  相似文献   

14.
设计了能够传输英文字母、汉字及图像信息的数字调制-解调无线通信系统,实现了点对点、单点对多点的异步单工通信。发射机由控制器、调制电路、高频功率放大器、天线、显示电路及电源部分组成。发射机采用计算机标准PS/2键盘录入信息,并用拼音输入法实现汉字的录入。接收机由解调电路、数据处理器、显示电路及电源部分组成。整机实现了在30.0MHz低载波频率下的高速数据传输,数据传输速率可设为1.2kb/s、9.6kb/s、57.6kb/s、115.2kb/s。  相似文献   

15.
基于Petri网的异步电路设计关键技术研究   总被引:1,自引:0,他引:1  
郑东炜  许维胜  岑峰 《计算机仿真》2009,26(10):344-347
Petri网是异步并发现象建模的重要工具,以异步处理器为代表的异步电路以其在解决时钟扭曲,低功耗方面的优势受到越来越广泛的关注,异步电路设计的主要问题之一是缺乏成熟的EDA工具支持异步电路的设计风格,采用基于信号转换图(STG)的方法,完成了一个基于握手协议的异步控制部件的Petri网模型建立以及仿真和实现。并进一步给出了一个异步FIFO的设计应用实例。通过标准的时序仿真方法,得到的仿真结果表明上述方法能够很好地完成异步电路的设计而且在综合效率和资源利用上有明显的改进。  相似文献   

16.
异步FIFO是一种先进先出电路,可以有效解决异步时钟之间的数据传递。通过分析异步FIFO设计中的难点,以降低电路中亚稳态出现的概率为主要目的,提出了一种格雷码计数器的技术,通过仿真验证,有效地实现了异步FIFO控制器的设计。该设计将大大提高工作频率和资源利用率。  相似文献   

17.
异步片上网络具有低动态功耗、对延迟抖动的不敏感、统一的网络接口、较低的系统集成复杂度和较好的电磁兼容能力等众多特性,是下一代片上多核微处理器和多核片上系统的标准片上通信架构之一.在简单介绍异步电路的相关理论后,从多个方面概述了当前异步片上网络的研究成果,包括网络拓扑、同步?异步接口、流控制、服务质量、路由算法、低功耗设计、容错和可测性设计以及设计自动化;然后介绍并分析了一些具有代表性的异步片上网络设计案例.研究显示,异步片上网络具有众多同步片上网络所不具备的优点,大量的片上多核系统将使用异步片上网络作为其片上通信系统,但它们的易用性和网络性能亟待提高.  相似文献   

18.
针对数字通信中业务量越来越大、业务种类越来越多等特点,提出了不同速率的码流进行异步数字复接的一种方法,以实现信息的综合、实时、可靠传输;利用数字复接技术,提出了异步复接系统的设计方案,并详细探讨了系统FPGA实现方法;通过帧同步的前、后方保护设计,有效地减小了假同步和漏同步概率,增强了系统的稳定性;仿真和硬件测试结果表明,该系统可靠性强,可扩展性好,且有效地解决了采用普通电路实现时布板面积大、电路复杂等难题.  相似文献   

19.
提出一种抗差分功耗分析攻击的高级加密标准(AES)异步S盒电路。采用复合域算法实现精简的S盒结构,通过引入单轨异步流水线降低整个S盒的功耗,在单轨电路中局部采用异步双轨电路,利用随机数控制下的数据扰乱机制,改善电路的抗差分功耗分析攻击性能,建立S盒差分功耗分析攻击仿真平台,对设计的相关性能进行了仿真验证和测试。  相似文献   

20.
异步时序电路分析一种OBDD方法   总被引:1,自引:0,他引:1  
对异步时序电路的分析和使用是一个比较困难的问题,所以,异步时序电路的实际应用范围远不如同步时序电路,通过改进JRBurch等提出的分析方法,使之适用于异步时序电路,该方法使用基于OBDD的布尔特征函数来表示电路的转移关系,并通过基于OBDD的布尔函数的运算涞确定异步时序电路的稳定状态,及当输入改变时电路的下一个稳定状态,由此可实现对电路特性的精确描述。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号