首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 109 毫秒
1.
X射线直接成像的CMOS图像传感器由于工作在X射线辐射下,其内部器件会因为辐射效应引起性能恶化,因此需要对器件进行抗辐射加固并研究辐射对器件参数的影响。辐射导致的氧化物陷阱电荷及界面陷阱电荷受到栅氧厚度、偏置电压大小、辐射总剂量以及剂量率等多种因素影响。设计了n型场效应晶体管辐射加固结构版图,用0.5μm CMOS工艺流片,并进行了30 kGy(Si)的总剂量辐照效应实验。实验结果显示,所设计的n型场效应晶体管在辐射之后漏电流有所增加、跨导减小、阈值电压向负向漂移;辐射加固晶体管在漏电流性能上较未加固晶体管更好,在跨导改变和阈值电压漂移上未能表现出其更优的性能。  相似文献   

2.
《电子与封装》2017,(10):13-16
IGBT(Insulate Gate Bipolar Transistor,绝缘栅双极晶体管)已得到广泛应用。为提高封装阶段的成品率,需对芯片的高温漏电特性进行测试。通过对芯片测试参数的分析,提出了可通过对Vgth(阈值电压)的测试、比对,实现对芯片在高温测试条件下实际测试温度的校正。  相似文献   

3.
X射线直接成像的CMOS图像传感器由于工作在X射线辐射下,其内部器件会因为辐射效应引起性能恶化,因此需要对器件进行抗辐射加固并研究辐射对器件参数的影响。辐射导致的氧化物陷阱电荷及界面陷阱电荷受到栅氧厚度、偏置电压大小、辐射总剂量以及剂量率等多种因素影响。设计了n型场效应晶体管辐射加固结构版图,用0.5μm CMOS工艺流片,并进行了30 kGy(Si)的总剂量辐照效应实验。实验结果显示,所设计的n型场效应晶体管在辐射之后漏电流有所增加、跨导减小、阈值电压向负向漂移;辐射加固晶体管在漏电流性能上较未加固晶体管更好,在跨导改变和阈值电压漂移上未能表现出其更优的性能。  相似文献   

4.
通过对ETC公司的商用256 kb和1 Mb CMOS SRAM器件在不同偏置条件(包括静态偏置和动态读写偏置)下进行电离辐射效应的研究,获得了SRAM器件电气参数和功能出错数随总剂量的响应关系.实验结果表明,功耗电流随累积剂量的增加变化明显,可以作为表征SRAM辐射损伤的敏感参数,但功能出错数与功耗电流的变化不同步,与功耗电流没有必然联系,原因是功能出错主要由栅氧阈值电压负漂引起,而功耗电流的增加主要由栅氧和场氧阈值电压负漂造成的漏电引起.  相似文献   

5.
近年来,随着采用能降低成本的先进工艺技术,FPGA的应用也日益广泛.但在成本降低的同时,功耗却随着高的晶体管漏电流而增加.  相似文献   

6.
对0.18 um 工艺NMOSFET器件进行总剂量辐照实验,包括不同栅长器件。由于深亚微米器件栅氧化层厚度较薄,对总剂量辐照不敏感,辐照前后器件阈值电压基本不发生变化。所有尺寸器件的关态漏电流随总剂量增加而增加。我们认为,总剂量辐射在浅沟槽隔离氧化物侧壁诱生成源漏之间漏电路径。该漏电路径是由于浅沟槽隔离氧化物种陷阱正电荷形成的。研究发现,辐射诱生的漏电流大小与器件栅长密切相关。通过主晶体管和寄生晶体管模型可以很好解释该现象。  相似文献   

7.
Xilinx FPGA的功耗优化设计   总被引:1,自引:0,他引:1  
对于FPGA来说,设计人员可以充分利用其可编程能力以及相关的工具来准确估算功耗,然后再通过优化技术来使FPGA设计以及相应的PCB板在功率方面效率更高。 静态和动态功耗及其变化 在90nm工艺时,电流泄漏问题对AISC和FPGA都变得相当严重。在65nm工艺下,这一问题更具挑战性。为获得更高的晶体管性能,必须降低阈值电压,但同时也加大了电流泄漏。  相似文献   

8.
LOCOS隔离的SOI器件的性能强烈依赖于其背栅特性,而背栅应力会影响到背栅的特性。常温下在SOI器件的背栅上施加大电压并持续30秒以上可以显著改变背栅的阈值电压。这种改变是稳定的和时不变的。对NMOS加正的背栅压和对PMOS加负的背栅压都可以提高其背栅阈值电压。实验结果表明沿着硅岛的边缘有一条从源到漏的寄生漏电通道,而且将栅,源,漏接地并在背栅上加大的偏压可以强烈影响漏电通道。因此我们可以得到结论,背栅应力会影响与漏电流直接相关的背栅阈值电压。  相似文献   

9.
介绍了基于SIMOX SOI晶圆的0.5μm PD SOI CMOS器件的抗总剂量辐射性能。通过CMOS晶体管的阈值电压漂移,泄漏电流和32位DSP电路静态电流随总剂量辐射从0增加到500 krad(Si)的变化来表现该工艺技术的抗电离总剂量辐射能力。对于H型(无场区边缘)NMOS晶体管,前栅阈值电压漂移小于0.1 V;对于H型PMOS晶体管,前栅阈值电压漂移小于0.15 V;未发现由辐射引起的显著漏电。32位DSP电路在500 krad(Si)范围内,静态电流小于1 m A。通过实验数据表明,在较高剂量辐射条件下,利用该工艺制造的ASIC电路拥有良好的抗总剂量辐射性能。  相似文献   

10.
n型纳米非对称双栅隧穿场效应晶体管(DG-TFET)速度快、功耗低,在高速低功耗领域具有很好的应用前景,但其阈值电压的表征及其模型与常规MOSFET不同.在深入研究n型纳米非对称DG-TFET的阈值特性基础上,通过求解器件不同区域电场、电势的方法,建立了n型纳米非对称DG-TFET器件阈值电压数值模型,探讨了器件材料物理参数以及漏源电压对阈值电压的影响,通过与Silvaco Atlas的仿真结果比较,验证了模型的正确性.研究表明,n型纳米非对称DG-TFET的阈值电压分别随着栅介质层介电常数的增加、硅层厚度的减薄以及源漏电压的减小而减小,而栅长对其阈值电压的影响有限.该研究对纳米非对称DG-TFET的设计、仿真及制造有一定的参考价值.  相似文献   

11.
New gate logics, standby/active mode logic I and II, for future 1 Gb/4 Gb DRAMs and battery operated memories are proposed. The circuits realize sub-l-V supply voltage operation with a small 1-μA standby subthreshold leakage current, by allowing 1 mA leakage in the active cycle. Logic I is composed of logic gates using dual threshold voltage (Vt) transistors, and it can achieve low standby leakage by adopting high Vt transistors only to transistors which cause a standby leakage current. Logic II uses dual supply voltage lines, and reduces the standby leakage by controlling the supply voltage of transistors dissipating a standby leakage current. The gate delay of logic I is reduced by 30-37% at the supply voltage of 1.5-1.0 V, and the gate delay of logic II is reduced by 40-85% at the supply voltage of 1.5-0.8 V, as compared to that of the conventional CMOS logic  相似文献   

12.
Reduction in leakage power has become an important concern in low-voltage, low-power, and high-performance applications. In this paper, we use the dual-threshold technique to reduce leakage power by assigning a high-threshold voltage to some transistors in noncritical paths, and using low-threshold transistors in critical path(s). In order to achieve the best leakage power saving under target performance constraints, an algorithm is presented for selecting and assigning an optimal high-threshold voltage. A general leakage current model which has been verified by HSPICE simulations is used to estimate leakage power. Results show that the dual-threshold technique is good for leakage power reduction during both standby and active modes. For some ISCAS benchmark circuits, the leakage power can be reduced by more than 80%. The total active power saving can be around 50% and 20% at low- and high-switching activities, respectively  相似文献   

13.
Multithreshold CMOS (MTCMOS) circuits reduce standby leakage power with low delay overhead. Most MTCMOS designs cut off the power to large blocks of logic using large sleep transistors. Locally distributing sleep devices has remained less popular even though it has several advantages described in this paper. However, locally placed sleep devices are only feasible if sneak leakage currents are prevented. This paper makes two contributions to leakage reduction. First, we examine the causes of sneak leakage paths and propose a design methodology that enables local insertion of sleep devices for sequential and combinational circuits. A set of design rules allows designers to prevent most sneak leakage paths. A fabricated 0.13-/spl mu/m, dual V/sub T/ test chip employs our methodology to implement a low-power FPGA architecture with gate-level sleep FETs and over 8/spl times/ measured standby current reduction. Second, we describe the implementation and benefits of local sleep regions in our design and examine the interfacing issues for this technique. Local sleep regions reduce leakage in unused circuit components at a local level while the surrounding circuits remain active. Measured results show that local sleep regions reduce leakage in active configurable logic blocks (CLBs) on our chip by up to 2.2/spl times/ (measured) based on configuration.  相似文献   

14.
The circuit proposed in this paper simultaneously reduces the sub threshold leakage power and saves the state of art aspect of the logic circuits. Sleep transistors and PMOS-only logic are used to further reduce the leakage power. Sleep transistors are used as the keepers to reduce the sub threshold leakage current providing the low resistance path to the output. PMOS-only logic is used between the pull up and pull down devices to mitigate the leakage power further. Our proposed fast efficient leakage reduction circuit not only reduces the leakage current but also reduces the power dissipation. Power and delay are analyzed at the 32 nm BSIM4 model for a chain of four inverters, NAND, NOR and ISCAS-85 c17 benchmark circuits using DSCH3 and the Microwind tool. The simulation results reveal that our proposed approach mitigates leakage power by 90%–94% as compared to the conventional approach.  相似文献   

15.
Design and Analysis of Two Low-Power SRAM Cell Structures   总被引:2,自引:0,他引:2  
In this paper, two static random access memory (SRAM) cells that reduce the static power dissipation due to gate and subthreshold leakage currents are presented. The first cell structure results in reduced gate voltages for the NMOS pass transistors, and thus lowers the gate leakage current. It reduces the subthreshold leakage current by increasing the ground level during the idle (inactive) mode. The second cell structure makes use of PMOS pass transistors to lower the gate leakage current. In addition, dual threshold voltage technology with forward body biasing is utilized with this structure to reduce the subthreshold leakage while maintaining performance. Compared to a conventional SRAM cell, the first cell structure decreases the total gate leakage current by 66% and the idle power by 58% and increases the access time by approximately 2% while the second cell structure reduces the total gate leakage current by 27% and the idle power by 37% with no access time degradation.  相似文献   

16.
LECTOR: a technique for leakage reduction in CMOS circuits   总被引:1,自引:0,他引:1  
In CMOS circuits, the reduction of the threshold voltage due to voltage scaling leads to increase in subthreshold leakage current and hence static power dissipation. We propose a novel technique called LECTOR for designing CMOS gates which significantly cuts down the leakage current without increasing the dynamic power dissipation. In the proposed technique, we introduce two leakage control transistors (a p-type and a n-type) within the logic gate for which the gate terminal of each leakage control transistor (LCT) is controlled by the source of the other. In this arrangement, one of the LCTs is always "near its cutoff voltage" for any input combination. This increases the resistance of the path from V/sub dd/ to ground, leading to significant decrease in leakage currents. The gate-level netlist of the given circuit is first converted into a static CMOS complex gate implementation and then LCTs are introduced to obtain a leakage-controlled circuit. The significant feature of LECTOR is that it works effectively in both active and idle states of the circuit, resulting in better leakage reduction compared to other techniques. Further, the proposed technique overcomes the limitations posed by other existing methods for leakage reduction. Experimental results indicate an average leakage reduction of 79.4% for MCNC'91 benchmark circuits.  相似文献   

17.
This paper describes the characteristics of a new 10T structure for SRAM cell that works quite well in the sub-threshold region. This new architecture has good characteristics in write and read delay and energy compared with other new structures. This new 10T topology improves read static noise margin (SNM) and write operation speed with respect to other topologies in the same or even lower power consumption. The new topology has at least 13% lower power consumption compared with the best of recent architectures. Its write characteristics also are similar to those of 6T-SRAM, which has improved write delay and energy. The new 10T SRAM cell also consumes lower power compared with other cells. The stacking is used to suppress the standby leakage through the read path. The simulations were performed using HSPICE 2011 in a 16 nm bulk CMOS Berkeley predictive technology model (BPTM).  相似文献   

18.
This paper presents a design technique of a low power, linear voltage regulator for high dynamic range of load current with good transient performances. It has been achieved by introducing a dynamic leakage path (pull down) at the driver stage of the voltage regulator. The pull down current through the dynamic leakage path is kept very small in steady condition for minimizing internal static power. While in high-to-low load current transition, the current through the dynamic leakage path is magnified for a small duration of time to achieve smaller settling time. The concept of the dynamic leakage path proves to be a more power efficient method than the static leakage method, especially in low standby current applications. The circuit is implemented in 0.18?µ CMOS technology and the voltage regulator generates 1.9?V from 3.3?V supply. The dynamic leakage path consumes additional 37?µA current, averaged over 7.2?µS time when the load current switches from high to low value, but consumes only 14?µA current in steady state.  相似文献   

19.
A new FPGA architecture suitable for digital signal processing applications is presented.DSP modules can be inserted into FPGA conveniently with the proposed architecture,which is much faster when used in the field of digital signal processing compared with traditional FPGAs.An advanced 2-level MUX(multiplexer) is also proposed.With the added SLEEP MODE PASS to traditional 2-level MUX,static leakage is reduced.Furthermore, buffers are inserted at early returns of long lines.With this kind of buffer,the delay of the long line is improved by 9.8%while the area increases by 4.37%.The layout of this architecture has been taped out in standard 0.13μm CMOS technology successfully.The die size is 6.3×4.5 mm~2 with the QFP208 package.Test results show that performances of presented classical DSP cases are improved by 28.6%-302%compared with traditional FPGAs.  相似文献   

20.
提出了两种新的电路技术,在降低多输入多米诺"或门"的动态功耗的同时减小了漏电流,并提高了电路的噪声容限.采用新的电路技术设计了八输入多米诺"或门"并基于45nm BSIM4 SPICE 模型对其进行了模拟.模拟结果表明,设计的两种新多米诺电路在同样的噪声容限下有效地降低了动态功耗,减小了总的漏电流,同时提高了工作速度.与双阈值多米诺电路相比,设计的两种电路动态功耗分别降低了8.8%和11.8%,电路速度分别提高了9.5%和13.7%,同时总的漏电流分别降低了80.8%和82.4%.基于模拟结果,也分析了双阈值多米诺电路中求值点的不同逻辑状态对总的漏电流的影响.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号