首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
系统级建模是大规模集成电路设计的一个重要阶段,它实现了设计从文本规范向功能实现的过渡,传统方法中一直使用硬件描述语言(HDL)来完成系统级建模,其弊端在于建模的效率低不适应如今SoC设计的要求。SystemC作为一种基于C 语言的新型硬件设计语言较已有的HDL语言在系统级建模、软硬件协调设计方面更具优势,因此也更适用于SoC的设计建模,该文介绍了SystemC的最新版本SystemC2.0的使用特点以及如何利用其进行SoC顶层设计的方法,并通过对一个短消息平台的建模实例说明如何具体使用SystemC2.0,通过与传统方法的比较可以得出结论,SystemC可以迅速有效地实现SoC系统级的建模。  相似文献   

2.
将软件设计方法引入到硬件设计中,来开发FPGA应用是一种新的设计思想。软件DKl是无需使用硬件描述语言HDL设计,由高级语言Handle-C直接设计实现FPGA应用的开发环境。  相似文献   

3.
V HDL是硬件电路设计的首选语言之一,论文结合V HDL的特点,阐述了在应用V HDL进行硬件设计中遇到的几个常见问题,解释了V HDL语法难点,指出了在应用其进行设计过程中应注意的一些问题。从实际应用效果来看,论文提出的几个问题对V HDL设计具有一定的指导意义。  相似文献   

4.
介绍了一种将TCSP语言用于硬件高层次系统设计的方法。该方法以HDL语言作为系统功能实现的核心,以TCSP语言作为系统高层次描述的外壳,从而弥补了HDL在高层形式说明和结构与实时功能表达方面的不足;同时该方法将时序与功能一体化描述,进一步丰富了硬件系统规格的内容,为复杂的硬件系统设计提供了一种可执行的规格说明方法。  相似文献   

5.
自动机与函数这两概念似乎是矛盾的。本文将自动机观念引入到正式自动函数程序设计语言FFP中来,作为一种程序设计的策略,从而使自动机融合于函数之中,达到自动机与函数两者之统一。在FFP系统的支撑之上设计了一个Prolog虚拟机(Horn子句逻辑程序设计语言),作为函数程序设计中运用自动机策略的一个应用实例。  相似文献   

6.
现场可编程门阵列(FPGA)器件广泛应用于数字信号处理领域,而使用VHDL或Verilog HDL语言进行设计比较复杂。针对软件无线电中的多速率信号处理技术,提出了一种采用DSP Builder实现级联积分梳状(CIC)抽取滤波器的FPGA实现方案。软件仿真和硬件测试验证了设计的正确性和可行性。  相似文献   

7.
基于FPGA的双线性内插方法实现MEE的畸变实时校正   总被引:3,自引:0,他引:3  
设计了医用电子内窥镜(MEE)畸变实时校正硬件系统,以有效改善图像的畸变失真,实时地反映病变部位.采用FPGA作为图像处理器,用Verilog HDL语言设计了双线性内插模块,实现了MEE标准视频图像的硬件双线性插值.系统延迟40 ms,校正前、后图像的相对畸变分别小于-20%和±1%.  相似文献   

8.
这是一款新型的FPGA(现场可编程门阵列)硬件语言编译器,可以实现对大规模高复杂度的FPGA的编程,直接从C语言到HDL(硬件编程)语言的转换,并且可完成FPGA的设计和分析。(2013-129-英国-079)该实验室成果目前正在申请专利,在IC领域有较好的市场前景,外方期望以授权许可等方式与中方开展合作。  相似文献   

9.
论述了利用Verilog HDL语言实现ISA端口操作和脉冲驱动RAM接口逻辑没计,并充分利用端口读操作脉冲的所有信息(前后沿和其低电平)实现了微机-端口-RAM的流水线式接口设汁,设计原理及其编程简洁,基于可编程逻辑器件FPGA的逻辑实现使外部硬件连接布线简单可靠;逻辑仿真结果符合硬件接口时序的要求,在线阵CCD数据采集系统中应用效果良好。  相似文献   

10.
设计了一个基于单片机与FPGA的多通道步进电机控制系统.该系统采用Altera公司的CycloneⅡ系列EP2C8Q205C8 FPGA芯片进行开发,采用Verilog HDL语言进行硬件电路设计,实现多通道步进电机的启动、加速、减速、停止等功能.通过新华龙C8051 F060单片机对设计的电路进行实际测试.上位机软件由Delphi 7设计.  相似文献   

11.
为克服采用单片机或PLC来实现交通灯控制器的不足,在已有基于Verilog HDL硬件描述语言的交通灯设计的基础上,给出了一种基于Verilog HDL硬件描述语言的复杂交通指标灯设计;同时,选择XINLINX公司的FPGA芯片,采用ISE9.li开发工具进行了程序的编译与功能仿真,实现了交通灯控制器的硬件电路描述.仿...  相似文献   

12.
设计了一款具有4级流水线结构的16位RISC嵌入式微处理器.针对转移指令,未采用惯用的延迟转移技术,而是通过在取指阶段增加相应的硬件结构实现了无延迟转移.采用内部前推技术解决了指令执行过程中的数据相关.同时通过设置相应的硬件堆栈实现了对中断嵌套和调用嵌套的支持.整体系统结构采用Verilog HDL语言设计,指令系统较完善.在软件平台上的仿真验证初步表明了本设计的正确性.  相似文献   

13.
严明忠 《福建电脑》2007,(8):147-148
Verilog HDL作为一种规范的硬件描述语言,被普遍应用于电路的设计中.本文以Verilog HDL语言为手段,设计了交通灯控制系统;其代码具有良好的可读性和易理解性等优点,源程序并经Xilinx公司的Xilinx ISE8.2i和ModelSim6.1f软件完成综合、仿真.  相似文献   

14.
借助于硬件描述语言Verilog HDL语言和FPGA,提出了一种32位循环型除法器的实现方法。该除法器通过改善程序结构,优化了时序,提高了除法运算速度,克服了传统除法器“吃时钟”的弊端。且该除法器的移位、相减和比较操作都在一个程序下完成,无需模块划分,节约逻辑资源。该设计并顺利通过Quartus II编译、综合和仿真工具Modelsim的仿真,达到了预期的结果。  相似文献   

15.
分析了高级加密标准算法(AES)的原理,并在此基础上对AES的硬件实现方法进行研究,用硬件设计语言(Verilog HDL)描述了该算法的基本过程和结构。完成了分组长度为128比特的AES加/解密芯片设计。仿真结果表明,在时钟频率为25MHz前提下,加/解密速度达3Gbit/sec。处理速度达到世界领先水平。  相似文献   

16.
SHA-1算法的HDL设计与仿真   总被引:1,自引:0,他引:1  
孟德欣  俞国亮 《计算机仿真》2009,26(6):344-347,366
随着宽带网络和数字视频的飞速发展,如何加强对数据内容的保护成为迫切需要解决的问题.HDCP是一种目前最有效的版权保护协议.它正是采用了SHA-1算法来验证信息传输的完整性.基于HDL语言的硬件设计方法,可以方便地设计硬件电路,建立SHA-1的算法模型,包括码流填充过程和压缩计算过程.用Veriiog HDL描述的电路,其综合结果可通过仿真验证.采用电路结构设计的SHA-1功能模块,简洁高效,可方便地在可编程逻辑器件中实现,并且已在多个嵌入式系统的设计中得到了应用和验证.  相似文献   

17.
随着大规模集成电路的快速发展,软硬件的协同设计和验证技术变得越来越重要,其中硬件语言Verilog HDL和软件语言SystemC之间的编译转换问题也变得热门.本文研究在Verilog HDL到SystemC编译语义转化中,等效生成SystemC头文件的嵌套关系问题.首先提出问题模型,然后利用构造依赖树的算法设计解决问...  相似文献   

18.
NAND Flash存储控制器的软硬件划分设计   总被引:5,自引:0,他引:5  
沈浩  付宇卓 《计算机工程》2004,30(24):168-170,185
介绍了现代数码产品中NAND Flash Memory控制器的基本控制和4种软硬件划分不同的控制器设计。作者用Verilog HDL语言分别实现了这4种不同的控制器的,并进行了硬件面积、DSP占用率和接口灵活性的量化比较,指出了各种方案适用的范围。通过对一个SOC项目的需要分析,设定了基准函数,从而选出了合适的软硬件划分方案。  相似文献   

19.
本文通过一个简单的例子介绍了Verilog HDL语言的应用,对比地给出了功能仿真和时序仿真的波形,说明了实现电子电路的自动化设计(EDA)过程。  相似文献   

20.
在传统的软硬件协同设计中,硬件采用的是RTL描述(用硬件设计语言HDL描述),而软件通常采用C或者C 语言进行描述,这种语言描述的不一致会加大协同验证仿真的难度,从而导致系统设计过程的反复。文章提出了一种基于WISHBONE总线协议标准的用SystemC语言描述的虚部件库设计与管理方法,可以降低SoC系统设计的复杂度,从而加快SoC系统设计的过程。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号