首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到18条相似文献,搜索用时 625 毫秒
1.
MIPS32指令集兼容的CPU模拟器设计   总被引:3,自引:0,他引:3       下载免费PDF全文
薛勃  周玉洁 《计算机工程》2009,35(1):263-265
描述一个与MIPS32指令集兼容的CPU模拟器设计方案,该方案用C语言描述处理器的硬件行为,模拟CPU指令的执行过程,实现MIPS32除浮点运算指令以外的所有指令,有大小可配的主存储器、指令和数据统一的二相关高速缓存Cache,内置类型可配的分支预测器和ELF文件解析器,并给出设计的应用实例。  相似文献   

2.
MIPS科技公司(MIPS Technologies,Inc)宣布,其高性能MIPS64架构已为RMI公司新款XLP处理器采用。RMI公司推出的XLP处理器是一款以MIPS64指令集为基础的多核处理器,拥有极高的性能。RMI是为通信和各种媒体应用提供高性能处理器的厂商,其XLP处理器采用MIPS64兼容超标量体系结构及具备无序(out—of-order)处理能力的多线程处理器内核。  相似文献   

3.
对MIPS指令集的流水线CPU进行了研究,提出了一种基于MIPS的流水线处理器模型机设计方案。方案设计的数据通路可以逐条添加指令,更贴近于教学实践,采用五级流水线架构,共实现52条指令,包含乘法及除法指令,对于每个流水段中的教学应用方法进行了分析。针对流水线数据与指令的相关问题,设计了专用的异常处理模块。模型机在EDA平台上进行了测试,测试结果表明,该方案符合设计要求。  相似文献   

4.
嵌入式开发对指令集模拟器模拟速度的要求越来越高.提出了一种新的细化的动态翻译技术,基本思路是指令集的每条指令被翻译成一条语义函数,通过特定的指针指向符合条件的语义函数,这样,即使执行条件发生改变时也能调用上次编译的结果,从而使模拟速度显著提高,MIPS64指令集模拟器的测试结果给以了验证.  相似文献   

5.
基于MIPS32 4k系列的处理器架构,提出一种AES算法的SIMD指令集扩展方法,利用处理器流水线对齐级和AES数据访问单元,实现64 bit数据位宽的并行处理操作。对不同实现方式的性能进行比较,结果表明,该方法的加解密运算性能有较大提高,硬件代价相对较小,且具有编程灵活性。  相似文献   

6.
Toshiba公司最近宣布它扩展了与SGI公司的分公司MIPS Technologies公司所签署的技术许可证范围,得到了MIPS16指令集结构(ISA)的许可证。 Toshiba也透露了其新的微处理器计划,它将提供从价格较低的32位到最先进的、高性能的64位全系列的世界标准MIPS RISC处理器。新增加的32位处理器通过采用MIPS16 ISA结构,能够以与16位处理器相似的价格提供32位RISC处理器的性能。MIPS ISA包括了16位指令及32位指令。这种称做TX System RISC系列(简称TX系列)的全系列基于MIPS的RISC微处理器,将是Toshiba  相似文献   

7.
论述基于MIPS32体系结构的Linux操作系统的移植技术;结合具体实例,给出在特定硬件平台(同济大学微电子中心自主开发的BC320芯片及板级系统)上移植Linux的实现过程;介绍建立交叉开发环境的方法,讨论当实际指令集为标准MIPS32指令集子集时(以4条非对齐存取指令为例),如何逻辑等效地完成操作系统移植的问题。  相似文献   

8.
为能以硬件方式直接执行CISC结构的Java字节码,设计并实现适用于32位嵌入式实时Java平台的JPOR-32指令集。分析Java虚拟机规范中各Java字节码的功能和实现原理,设定执行每条指令时信号和数据在Java处理器数据通路上的变化,采用微指令方式执行复杂指令,简单指令直接执行,从而使JPOR-32的指令集具有RISC特性。实验结果验证了指令集的正确性及其最坏情况执行时间(WCET)的可预测性。  相似文献   

9.
MIPS科技公司(MIPS Technologies,Inc)宣布,中国科学院计算技术研究所(ICT)已获得MIPS32和MIPS64架构授权,以进一步推动龙芯系列处理器的开发与商业化工作。2007年,MIPS科技的授权客户意法半导体(STMieroelectronics)选用MIPS64架构来支持jCT的龙芯处理器研发。本次则是该机构首度直接取得MIPS塞构授权。  相似文献   

10.
ARMv4指令集模拟器设计及优化技术   总被引:3,自引:0,他引:3  
指令集模拟器是处理器、编译器以及嵌入式系统设计中的重要工具之一.首先讨论指令集模拟器的分类及特点,然后阐述作者采用解释技术开发的ARMv4指令集模拟器的实现方法,为了提高模拟效率,还讨论几种性能优化技术.  相似文献   

11.
指令集仿真器在ASIP处理器硅前软件开发中发挥着重要的作用,但使用传统仿真方法的指令集仿真器仿真速度较慢.基于二进制插桩,提出了ASIP处理器指令集混合仿真方法,以混合仿真的方式,使基础指令直接运行在宿主机上,仅对扩展指令仿真,从而降低仿真开销,提升仿真速度.实验表明,采用此方法对主流高清音视频解码软件进行仿真的平均速度达到了1058.5MIPS,是采用当前先进的动态二进制翻译仿真方法仿真器速度的34.7倍.  相似文献   

12.
介绍了嵌入式系统领域中的常用RISC微处理器——ARM处理器的ARM指令集与Thumb指令集,分析了应用程序的ARM代码与Thumb代码的各自的优势与不足,研究了生成高密度、执行效率高的混合代码的方法。  相似文献   

13.
网络处理嚣是专门为网络处理而设计的处理嚣,其指令集是软硬件的界面,指令集的设计对性能有较大的影响.本文提出了一种针对高频率指令对-HFIP的组合优化方法,该方法充分利用了网络处理器基准程序里指令执行过程中的动态相关性,开发了simpIescalar模拟嚣的指令格式里未使用的空住作为新指令的扩展域.采用量化的方法对实验结果进行分析.模拟结果显示该方法合理有效,在提高网络处理器性能的同时有效降低指令cache的功耗.实现性能/功耗的权衡.  相似文献   

14.
为满足嵌入式设备小面积高性能的需求,设计一种基于开源RISC-V指令集的32位可综合乱序处理器。处理器包括分支预测、相关性处理等关键技术,支持RISC-V基本整数运算、乘除法以及压缩指令集。采用具有顺序单发射、乱序执行、乱序写回等特性的三级流水线结构,运用哈佛体系结构及AHB总线协议,可满足并行访问指令与数据的需求。在Artix-7(XC7A35T-L1CSG324I)FPGA开发板上以50 MHz时钟频率完成功能验证,测试功耗为7.9 mW。实验结果表明,在SMIC 110 nm的ASIC技术节点上进行综合分析,并在同等条件下与ARM Cortex-M3等处理器进行对比,该系统面积减少64%,功耗降低0.57 mW,可用于小面积低功耗的嵌入式领域。  相似文献   

15.
由解释执行实现的指令集仿真是解决二进制兼容问题的有效手段。解释执行各步骤的组织方式对解释器性能有着重要影响。集中方式效率较低,而效率较高的线索方式由于译码过程过于复杂而无法用于CISC指令集的解释执行。本文提出了一种基于DICache的混合线索解释执行技术,DICache实现一种高效的硬件动态预译码,将源指令转换为一种中间表示,在解释例程中对DICache快速访问实现对CISC指令集的线索解释执行。本文在一个源为IA-32、目标为VLIW的解释器上,采用SPEC INT2000中的测试程序对基于DICache的混合线索解释执行技术进行评估。结果表明该方法可以显著提高解释器的性能。  相似文献   

16.
指令集模拟器是进行体系结构设计与评估及软件逆向工程开发的有利工具。该文采用解释型模拟策略,阐述可重用的指令集模拟器的实现方法。在此基础上,提出一种基于虚拟指令集的模拟技术,使之能够应用于多款处理器,同时论述了几种提高模拟效率的优化 技术。  相似文献   

17.
指令分层过滤体系在通用CPU模拟器设计中的应用   总被引:1,自引:0,他引:1  
该文提出了一种新的指令集模板格式----指令分层过滤体系,解决了传统指令集模板格式适用面较窄以及特殊情况下效率较低的问题,并使用该方法设计了一个可定制的通用CPU模拟器GSim。  相似文献   

18.
针对传统指令集模拟器的目标依赖性,提出基于虚指令集的多目标指令集模拟技术。采用解释型模拟策略,利用虚指令集技术优化虚指令集构造,进一步设计出面向多目标的指令集模拟器。实验结果证明该技术具有快速、灵活、可重用等特性,该模拟器在后续工作和兼容性方面优于传统模拟器。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号