首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   107篇
  免费   54篇
  国内免费   14篇
电工技术   33篇
综合类   1篇
机械仪表   2篇
无线电   65篇
自动化技术   74篇
  2023年   8篇
  2022年   3篇
  2021年   9篇
  2020年   6篇
  2019年   6篇
  2018年   6篇
  2017年   6篇
  2016年   4篇
  2015年   8篇
  2014年   16篇
  2013年   12篇
  2012年   9篇
  2011年   9篇
  2010年   15篇
  2009年   15篇
  2008年   12篇
  2007年   13篇
  2006年   4篇
  2004年   4篇
  2002年   1篇
  2001年   1篇
  1997年   1篇
  1996年   3篇
  1995年   1篇
  1994年   1篇
  1992年   1篇
  1991年   1篇
排序方式: 共有175条查询结果,搜索用时 156 毫秒
1.
针对片上网络中使用虚拟输出队列(VOQ)机制的路由器在网络拥塞时存在的头阻塞问题,提出负载均衡的AVOQ路由器架构。首先,输入缓冲区仍使用VOQ机制来处理头阻塞问题。其次,在路由计算模块自适应地选择输出端口,确保数据从较不拥塞的端口输出;在单个虚通道内自适应地读取数据包,确保下游不拥塞的流量能够在网络里流通。实验结果表明,相较于虚通道路由器和VOQ路由器,AVOQ路由器平均延时最多减少83.2%和57.1%,吞吐率最多增加72.7%和33.3%,功耗和面积开销可接受。该方案通过两个层级的自适应均衡全网的流量分布,缓解拥塞,进而降低头阻塞出现的可能性,并在头阻塞出现时消除其影响,提升网络性能。  相似文献   
2.
随着集成电路工艺水平的不断提高、器件尺寸的不断缩小以及电源的不断降低,传统的锁存器越发容易受到由辐射效应引起的软错误影响。为了增强锁存器的可靠性,提出了一种适用于低功耗电路的自恢复SEU加固锁存器。该锁存器由传输门、反馈冗余单元和保护门C单元构成。反馈冗余单元由六个内部节点构成,每个节点均由一个NMOS管和一个PMOS管驱动,从而构成自恢复容SEU的结构。在45 nm工艺下,使用Hspice仿真工具进行仿真,结果表明,与现有的加固方案FERST[1]结构相比,在具备相同面积开销和单粒子翻转容忍能力的情况下,提出的锁存器不仅适用于时钟门控电路,而且节省了61.38%的功耗-延迟积开销。  相似文献   
3.
硅通孔TSV发生开路故障和泄漏故障会降低三维集成电路的可靠性和良率,因此对绑定前的TSV测试尤为重要。现有CAF-WAS测试方法对泄漏故障的测试优于其他方法(环形振荡器等),缺点是该方法不能测试开路故障。伪泄漏路径思想的提出,解决了现有CAF-WAS方法不能对开路故障进行测试的问题。另外,重新设计了等待时间产生电路,降低了测试时间开销。HSPICE仿真结果显示,该方法能准确预测开路和泄漏故障的范围,测试时间开销仅为现有同类方法的25%。  相似文献   
4.
针对冒险引起的测试质量评估误差,本文提出了一种基于输出违例概率的测试质量评估方法.定义了到达时间窗口和输出违例概率的概念,使用输出违例概率来反映测试向量的小时延缺陷检测能力,有效地避免了忽略冒险引起的计算误差,从而准确的评估了向量对小时延缺陷的检测质量.实验结果表明,相对于基于输出偏移的国际同类方法,本文的评估方法不增...  相似文献   
5.
通过对数据块进行相容性分析发现,大多数数据块之间的不相容只是由于其中极少部分的对应位不相容导致的。针对这种情况,本文提出了一种基于跳变位相容的测试数据压缩方法,将这些数据块归入同一组中,用同一个Huffman码字来表示,并用字典编码来表示这些跳变位在数据块中的位置,从而提高短码字数据块的出现频率和减少不能采用码字编码的数据块个数,进而提高压缩率。基于ISCAS-89标准电路的实验结果与已有的传统的Selective Huffman编码技术相比,本方案的压缩率平均提高了12.18%,最大压缩率达到了92.55%。  相似文献   
6.
片上网络中的路由器故障将导致与其相连的IP核不能通信,严重影响了片上网络的性能.因此提出一种基于片上网络2D-mesh结构的容错机制,通过将关键IP核的资源网络接口与相邻节点的资源网络接口相连进行IP核的加固,在每个路由器的各个端口中配置邻居节点状态寄存器标示邻居节点的好坏,在路由计算时通过检查寄存器绕过故障路由器,同...  相似文献   
7.
基于虚通道故障粒度划分的3D NoC容错路由器设计   总被引:1,自引:0,他引:1  
深亚微米工艺下,路由器受制于制造缺陷及运行时的脆弱性,易发生虚通道(virtual channel,VC)永久性故障,从而引起通信故障,影响系统功能和性能.为了能够有效地容忍虚通道故障、保证系统性能及充分利用可用资源,将虚通道故障类型细分为粗粒度故障和细粒度故障,提出SVS(single VC sharing)路由器架构,通过将路由器端口两两分组,组内端口间实现单虚通道共享.当发生虚通道粗粒度故障时,使用组内相邻端口共享虚通道容错.当发生细粒度故障时,根据Slot State Table信息配置虚通道读/写指针的值,从而跳过故障Buffer槽实现容错.在无粗粒度故障情况下,共享虚通道还可用于负载平衡及容忍路由计算模块故障.实验结果表明:较其他已有的虚通道路由器,SVS路由器在3种不同的故障情况下均较大地降低了延时,提高了吞吐量.这表明SVS路由器可有效提高系统可靠性,保证了系统性能,充分利用了可用资源.  相似文献   
8.
簇式三维片上网络通信压力弱化策略   总被引:1,自引:0,他引:1  
针对3D NoC中TSV衬垫面积大,TSV利用率低的特点,采用4个plane router共享一个TSV router的簇式结构,分时共享TSV;针对路由器故障,则提出一种在输入端口和输出端口间建立旁路的机制,当plane router的缓冲区和交叉开关故障时,数据包由旁路转发;对于TSV router故障,则通过增加数据分配器和多路选择器,进行加固;为弱化过热点,提出新的压力弱化模型,根据其自身通信量以及所处的位置,动态调整路由方向.仿真结果表明,与传统XYZ路由相比,无故障时平均网络延时减少21%~27%,有故障时减少20%~39%,吞吐率比minTSV提高约20%.  相似文献   
9.
三维片上网络TSV复用容错策略   总被引:1,自引:0,他引:1  
三维片上网络结合了垂直互连技术所带来的优势和片上网络所具有的可扩展性的优点,大大提高了系统的性能,降低了功耗.但目前的制造工艺使得用于垂直互连的硅通孔(TSV)的产品良率仍然较低,严重影响三维片上网络系统通信的可靠性.以往处理TSV硬故障一般是通过添加一定数目的冗余链路来达到容错的目的,但这种方法会带来较大的面积和功耗的开销,并且只能处理数量有限的TSV故障.不添加冗余链路,通过对故障链路中功能良好TSV的复用,将数据微片多次传输,达到容TSV硬故障的目的.通过添加ECC编码解码模块来达到容瞬时故障的目的.实验分析表明,该设计方案在保证系统可靠性的基础上还具有较高的吞吐量与较低的延时.  相似文献   
10.
A/D D/A转换器自动测试仪的研制   总被引:1,自引:0,他引:1  
  相似文献   
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号