首页 | 本学科首页   官方微博 | 高级检索  
文章检索
  按 检索   检索词:      
出版年份:   被引次数:   他引次数: 提示:输入*表示无穷大
  收费全文   2篇
  免费   1篇
无线电   3篇
  2018年   1篇
  2013年   2篇
排序方式: 共有3条查询结果,搜索用时 7 毫秒
1
1.
SRAM编译器一般需要配置具有各种字宽、各种容量的SRAM.针对这种需求,SRAM阵列和外围电路需要设计成具有可配置性、可复用性的结构.使用0.525 μm2的6管存储单元,采用阵列划分、两级译码和具有本地时序的灵敏放大器,实现了适用于编译器的高速SRAM设计.基于SMIC 65 nm CMOS工艺,对512 kb的SRAM进行流片验证.测试结果表明,该SRAM在1.2V工作电压下可实现1.06 ns的高速访问时间.  相似文献   
2.
为改善数据保持干扰和编程干扰对NAND闪存可靠性的影响,提出了一种新的奇偶位线块编程补偿算法。该算法利用编程干扰效应来补偿由数据保持引起的阈值漂移,修复NAND闪存因数据保持产生的误码,提高了NAND闪存的可靠性。将该算法应用于编程擦除次数为3k次的1x-nm MLC NAND闪存。实验结果表明,在数据保持时间为1年的条件下,与传统奇偶交叉编程算法相比,采用该补偿算法的NAND闪存的误码降低了93%;与读串扰恢复算法相比,采用该补偿算法的NAND闪存的误码下降了38%。  相似文献   
3.
介绍了一种适用于多厂商、多种工艺和电路结构的嵌入式SRAM IP核编译器设计方法,该方法使编译器的设计复杂度降低30%以上.专用版图处理工具LayoutBuilder能自动完成版图拼接、打孔、画线、添加端口和生成GDSII版图文件等.专用网表处理工具NetlistBuilder仅用三个函数即可完成网表的生成,同时,该工具还内嵌自动检查端口数目和对齐方式、自动检查内部浮空节点和自动检查浮空端口等功能.介绍了一种编译器验证流程和时序与功耗文件的生成方法.用这个方法开发了针对2种工艺、3种电路结构的8个编译器.对编译器生成的IP核进行了流片验证.结果表明,该方法可以生成满足不同要求的SRAM IP核.  相似文献   
1
设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号