首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The SiN x : H films with compositions differently deviating from the stoichiometric proportion are produced by low-frequency plasma-enhanced chemical vapor deposition at the temperatures 100 and 380°C. Deviations from the stoichiometric composition are varied by varying the ratio between the ammonia and monosilane fluxes from 0.5 to 5. The films are studied by ellipsometry, Raman spectroscopy, infrared absorption spectroscopy, and luminescence measurements. In the SiN x : H films (x < 4/3), amorphous silicon clusters were found. According to estimations, only a small fraction of excess silicon coalesces into clusters, and an increase in the substrate temperature stimulates clustering. It is found that, with increasing the content of excess silicon in the films, the photoluminescence peak shifts to longer wavelengths.  相似文献   

2.
Silicon nanocrystals have been produced by thermal annealing of SiNx thin film obtained by low pressure chemical vapor deposition using a mixture between disilane and ammonia. Morphological, structural, and photoluminescence properties of the thin film were investigated using X-ray diffraction, scanning electron microscopy, Raman spectroscopy and photoluminescence spectroscopy. The results revealed a high crystallinity of film with a crystalline volume fraction exceeded 70%, and a dominance of silicon nanocrystallites having the sizes within the range 2.5–5 nm and density ~1.98.1012/cm2. The PL peaks consist of nanocrystalline silicon and amorphous silicon. The luminescence from the silicon nanocrystals was dominant.  相似文献   

3.
The aim of this work is to determine optimal deposition parameters of silicon nitride for optical applications. The authors present the investigation of hydrogenated amorphous silicon nitride SiNx:H deposited by the low temperature PECVD method in high frequency reactors. The study of hydrogen bonds in the SiNx:H thin films were detailed. The impact of NH3, SiH4 and N2 flow ratio and radio frequency power on optical coefficients in relation to chemical composition and roughness of the film is studied. The correlation between chemical bonds (N–H, Si–H) and refractive index and extinction coefficients is systematically verified. The experimental results show that the films with high refractive indexes superior to 2.05 and low roughness of about 0.35 nm can be achieved for optoelectronics applications by tuning the flow ratio or decreasing the RF power. A variety of processes have been suggested as compatible with low thermal budget (under 350 °C) in order to integrate optical waveguides with lower loss. In particular, the incorporation of N2 as dilution gas is suited to the fabrication of SiNx:H films optical waveguide requiring low N–H bonds, low concentration of hydrogen [H] and high refractive index.  相似文献   

4.
A thin film encapsulation layer was fabricated through two-sequential chemical vapor deposition processes for organic light emitting diodes (OLEDs). The fabrication process consists of laser assisted chemical vapor deposition (LACVD) for the first silicon nitride layer and laser assisted plasma enhanced chemical vapor deposition (LAPECVD) for the second silicon nitride layer. While SiNx thin films fabricated by LAPECVD exhibits remarkable encapsulation characteristics, OLEDs underneath the encapsulation layer risk being damaged during the plasma generation process. In order to prevent damage from the plasma, LACVD was completed prior to the LAPECVD as a buffer layer so that the laser during LACVD did not damage the devices because there was no direct irradiation to the surface. This two-step thin film encapsulation was performed sequentially in one chamber, which reduced the process steps and increased fabrication time. The encapsulation was demonstrated on green phosphorescent OLEDs with I–V-L measurements and a lifetime test. The two-step encapsulation process alleviated the damage on the devices by 19.5% in external quantum efficiency compared to the single layer fabricated by plasma enhanced chemical vapor deposition. The lifetime was increased 3.59 times compared to the device without encapsulation. The composition of the SiNx thin films was analyzed through Fourier-transform infrared spectroscopy (FTIR). While the atomic bond in the layer fabricated by LACVD was too weak to be used in encapsulation, the layer fabricated by the two-step encapsulation did not reveal a Si–O bonding peak but did show a Si–N peak with strong atomic bonding.  相似文献   

5.
We investigated a novel process for purifying metallurgical-grade silicon (MG-Si). MG-Si powder was first treated to form a thin porous silicon layer. This was heated at 900 °C under oxygen to weaken impurity–Si bonds. Samples were then chemically etched with dilute aqueous hydrofluoric acid. To understand the mechanisms in this purification process, structural, chemical composition and optical properties of MG-Si powder before and after treatment were characterized using Fourier-transform infrared (FTIR), inductively coupled plasma-atomic emission (ICP-AES), and photoluminescence (PL) spectroscopy techniques. FTIR studies of treated MG-Si powder revealed the formation of a thin porous silicon layer on the top surface, as evidenced by SiHx vibration peaks. PL spectra show that 30-min HF etching of MG-Si led to an increase in red emission, indicating the formation of porous silicon and suggesting a decrease in impurities. ICP-AES revealed that the process led to significant decreases in the concentrations of 15 different elemental impurities.  相似文献   

6.
Extremely low upper‐limit effective surface recombination velocities (Seff.max) of 5.6 and 7.4 cm/s, respectively, are obtained on ~1.5 Ω cm n‐type and p‐type silicon wafers, using silicon nitride (SiNx) films dynamically deposited in an industrial inline plasma‐enhanced chemical vapour deposition (PECVD) reactor. SiNx films with optimised antireflective properties in air provide an excellent Seff.max of 9.5 cm/s after high‐temperature (>800 °C) industrial firing. Such low Seff.max values were previously only attainable for SiNx films deposited statically in laboratory reactors or after optimised annealing; however, in our case, the SiNx films were dynamically deposited onto large‐area c‐Si wafers using a fully industrial reactor and provide excellent surface passivation results both in the as‐deposited condition and after industrial‐firing, which is a widely used process in the photovoltaic industry. Contactless corona‐voltage measurements reveal that these SiNx films contain a relatively high positive charge of (4–8) × 1012 cm−2 combined with a relatively low interface defect density of ~5 × 1011 eV−1 cm−2. Copyright © 2012 John Wiley & Sons, Ltd.  相似文献   

7.
The results of a comprehensive study by the methods of IR absorption, Raman scattering, photoluminescence (PL), and electron spin resonance (ESR) of SiOx films prepared by thermal evaporation of SiO in a vacuum are presented. The nature of structural transformations occurring on annealing the films is determined. Annealing in the temperature range 300–600°C gives rise to a PL band at 650 nm, presumably related to structural defects in SiOx film. Raising the annealing temperature further leads to healing of such defects and quenching of the PL band. Silicon precipitates pass from the amorphous to the crystalline state on being annealed at T ann=1100°C, which gives rise to a new PL band at 730 nm. ESR spectra of P b centers were recorded at the interface between randomly oriented silicon nanocrystallites and SiO2.  相似文献   

8.
This paper compares the optical, electronic, physical and chemical properties of dielectric thin films that are commonly used to enhance the performance of bulk silicon photovoltaic devices. The standard buried‐contact (BC) solar cell presents a particularly challenging set of criteria, requiring the dielectric film to act as: (i) an anti‐reflection (AR) coating; (ii) a film compatible with surface passivation; (iii) a mask for an electroless metal plating step; (iv) a diffusion barrier for achieving a selective emitter; (v) a film with excellent chemical resistance; (vi) a stable layer during high‐temperature processing. The dielectric coatings reviewed here include thermally grown silicon dioxide (SiO2), silicon nitride deposited by plasma‐enhanced chemical vapour deposition (a‐ SiNx :H) and low‐pressure chemical vapour deposition (Si3N4), silicon oxynitride (SiON), cerium dioxide (CeO2), zinc sulphide (ZnS), and titanium dioxide (TiO2). While TiO2 dielectric coatings exhibit the best optical performance and a simple post‐deposition surface passivation sequence has been developed, they require an additional sacrificial diffusion barrier to survive the heavy groove diffusion step. A‐ SiNx :H affords passivation through its high fixed positive charge density and large hydrogen concentration; however, it is difficult to retain these electronic benefits during lengthy high‐temperature processing. Therefore, for the BC solar cell, Si3N4 films would appear to be the best choice of dielectric films common in industrial use. Copyright © 2004 John Wiley & Sons, Ltd.  相似文献   

9.
The fracture energies of a series of tensile plasma-enhanced chemical vapor deposited low dielectric constant (low-k) SiOxCy:H, SiOxNy:H and SiNx:H thin films were calculated by determining the critical thickness at which spontaneous cracking occurred. The fracture energies determined for the SiOxCy:H films were in the range of 2-3 J/m2, whereas for the SiOxNy:H and SiNx:H films, the calculated fracture energies were higher and ranged from 5 to 14 J/m2. For the SiOxNy:H and SiNx:H films, the addition of nitrogen was not found to significantly increase the fracture energy of the SiON films relative to pure SiO2. The fracture toughness, however, was improved due to the increase in modulus from the addition of nitrogen. Overall, the fracture energies determined by this method were found to be consistent with those determined by other techniques.  相似文献   

10.
Nanoporous thin films of Cd1−xCuxS (0≤x≤0.06) were grown on a heated glass substrate employing a home-made spray pyrolysis technique. The influences of [Cu]/[Cd] and the annealing in the range 300–500 °C on the structural and morphological properties of the films were investigated by X-ray diffraction (XRD), Fourier transformation infrared spectroscopy (FTIR), field emission scanning electron microscope (FE-SEM) and atomic force microscopy (AFM). The influences of Cu doping ratio, solution flow rate, and the deposition time on the optical properties and photocatalytic activity of these films are also reported. The films are of polycrystalline nature and hexagonal structure. Increasing the Cu doping ratio and annealing temperature improve the (1 0 1) preferential orientation. The crystallite size is ranged from 23.82 to 32.11 nm. XRD and FTIR reveal the formation of CdO in the 6% Cu-doped CdS film annealed at 400 °C and in all films annealed at 500 °C. The pure CdS film is of a porous structure and the close-packing and porosity of the films increase with increasing Cu%. Also, the pore diameter can be controlled from 50 to 15 nm with the increase of Cu content. The films showed transmittance below 70%. The optical band gap of the films is decreased from 2.43 to 1.82 eV with increasing Cu% and flow rate/deposition time. Additionally, the refractive indices and dispersion parameters of the films are also affected by the deposition conditions. Cu doping enhanced the films' photostability as well as the photocatalytic removal of methylene blue (MB).  相似文献   

11.
A solar cell process designed to utilise low‐temperature plasma‐enhanced chemical vapour deposited (PECVD) silicon nitride (SiNx) films as front and rear surface passivation was applied to fabricate multicrystalline silicon (mc‐Si) solar cells. Despite the simple photolithography‐free processing sequence, an independently confirmed efficiency of 18.1% (cell area 2 × 2 cm2) was achieved. This excellent efficiency can be predominantly attributed to the superior quality of the rear surface passivation scheme consisting of an SiNx film in combination with a local aluminium back‐surface field (LBSF). Thus, it is demonstrated that low‐temperature PECVD SiNx films are well suited to achieve excellent rear surface passivation on mc‐Si. Copyright © 2002 John Wiley & Sons, Ltd.  相似文献   

12.
SiN x :H films of different compositions grown on glass and silicon substrates using plasma-chemical vapor deposition at a temperature of 380°C have been subjected to pulsed laser annealings. The treatments are performed using titanium-sapphire laser radiation with a wavelength of 800 nm and a pulse duration of 30 fs. Structural changes in the films are studied using Raman spectroscopy. Amorphous silicon nanoclusters are detected in as-grown films with molar fractions of excess silicon of ∼1/5 and larger. Conditions required for pulsed crystallization of nanoclusters were determined. According to the Raman data, no silicon clusters were detected in as-grown films with a small amount of excess silicon (x > 1.25). Pulsed treatments resulted in the formation of silicon nanoclusters 1–2 nm in size in these films.  相似文献   

13.
《Solid-state electronics》2006,50(7-8):1189-1193
Amorphous silicon carbide films were deposited by RF sputtering technique using a SiC target. These films were annealed in dry oxygen ambient in the temperature range of 400–700 °C. Subsequently the films were characterized using X-ray photoelectron spectroscopy (XPS) to investigate the chemical composition at each annealing temperature. XPS indicated that increasing the anneal temperature results in a decrease in SiC phase, and an increase in SiOx. Surface morphology of the oxidized films was characterized using atomic force microscope. Optical absorption studies indicated blue shifting effects as the annealing temperature was increased.  相似文献   

14.
Silicon nitride (a‐SiNx:H) films deposited by the expanding thermal plasma at high rate (> 1 nm/s) have been studied for application as anti‐reflection coatings for multicrystalline silicon (mc‐Si) solar cells. Internal quantum efficiency measurements have revealed that bulk passivation is achieved after a firing‐through process of the a‐SiNx:H as deposited from NH3/SiH4 and N2/SiH4 plasmas. However, the a‐SiNx:H films deposited from N2/SiH4 show a lower passivation quality than those deposited from NH3/SiH4. This has been attributed to a poorer thermal stability of the films deposited from the N2/SiH4 plasma, resulting in structural changes within the film during the firing step. Copyright © 2002 John Wiley & Sons, Ltd.  相似文献   

15.
Hot‐wire chemical vapor deposition (HWCVD) is a promising technique for very fast deposition of high quality thin films. We developed processing conditions for device‐ quality silicon nitride (a‐SiNx:H) anti‐reflection coating (ARC) at high deposition rates of 3 nm/s. The HWCVD SiNx layers were deposited on multicrystalline silicon (mc‐Si) solar cells provided by IMEC and ECN Solar Energy. Reference cells were provided with optimized parallel plate PECVD SiNx and microwave PECVD SiNx respectively. The application of HWCVD SiNx on IMEC mc‐Si solar cells led to effective passivation, evidenced by a Voc of 606 mV and consistent IQE curves. For further optimization, series were made with HW SiNx (with different x) on mc‐Si solar cells from ECN Solar Energy. The best cell efficiencies were obtained for samples with a N/Si ratio of 1·2 and a high mass density of >2·9 g/cm3. The best solar cells reached an efficiency of 15·7%, which is similar to the best reference cell, made from neighboring wafers, with microwave PECVD SiNx. The IQE measurements and high Voc values for these cells with HW SiNx demonstrate good bulk passivation. PC1D simulations confirm the excellent bulk‐ and surface‐passivation for HW SiNx coatings. Interesting is the significantly higher blue response for the cells with HWCVD SiNx when compared to the PECVD SiNx reference cells. This difference in blue response is caused by lower light absorption of the HWCVD layers (compared to microwave CVD; ECN) and better surface passivation (compared to parallel plate PECVD; IMEC). The application of HW SiNx as a passivating antireflection layer on mc‐Si solar cells leads to efficiencies comparable to those with optimized PECVD SiNx coatings, although HWCVD is performed at a much higher deposition rate. Copyright © 2007 John Wiley & Sons, Ltd.  相似文献   

16.
Polycrystalline thin films of Zn1−xCoxO with different cobalt (Co) content were grown on indium tin oxide (ITO) substrates by cathodic electrodeposition technique and subsequently annealed in air at 400 °C. The effect of annealing in their structural, optical and chemical properties has been characterized by X-ray diffraction (XRD), energy-dispersive spectroscopy (EDS), X-ray photoelectron spectroscopy (XPS), Raman scattering and optical spectroscopy. Our measurements indicate that moderate annealing increases the crystal quality of the films. The films are highly transparent in the visible range and evidence an increase of the band gap and of the intensity of three typical Co absorption bands in the visible with the amount of Co. Thermal annealing produces an increase of the intensity of the Co2+-related absorption bands revealing that higher amount of Co atoms are occupying Zn sites.  相似文献   

17.
Amorphous silicon (a-Si) thin films were prepared on glass substrates by plasma enhanced chemical vapor deposition (PECVD). Influence of annealing temperature on the microstructure, surface morphology, and defects evolution of the films were studied by X-ray diffraction (XRD), atomic force microscope (AFM) and positron annihilation Doppler broadening spectroscopy (DBS) based on a slow positron beam, respectively. The S parameter of the as-deposited a-Si thin film is high, indicative of amorphous state of Si film with many defects. The a-Si gradually grows into polycrystalline silicon with increasing temperature to 650 °C. For the films annealed below ~450 °C, positron diffusion lengths are rather small because most positrons are trapped in the defects of the a-Si films and annihilated there. With further rising the temperature to 600 °C, the diffusion length of positrons increases significantly due to the removal of vacancy-type defects upon annealing at a high temperature. The results indicate that the coalescence of small vacancy-type defects in a-Si thin film and the crystallization of a-Si occur around 450 °C and 650 °C, respectively.  相似文献   

18.
The effect of high-temperature annealing of undoped AlGaN/GaN heterostructures on different substrates was systematically studied between 1100°C and 1230°C. An AlN spacer layer was found to add stability to structures on sapphire substrates. AlGaN/GaN heterostructures on SiC substrates demonstrated excellent robustness for the temperature range studied, maintaining their mobility, sheet resistance, and sheet concentration values, even after annealing. A silicon nitride, SiN x , capping layer was found to assist in minimizing surface roughness during annealing and maintaining the electrical characteristics of the heterostructures. AlGaN/GaN heterostructures on SiC substrates showed a 20% decrease in mobility for uncapped samples compared with SiN x -capped samples.  相似文献   

19.
Phosphorus doped amorphous/nanocrystalline silicon (a-Si:H/nc-Si:H) thin films have been deposited by a filtered cathodic vacuum arc (FCVA) technique in the presence of hydrogen gas at different substrate temperatures (Ts) ranging from room temperature (RT) to 350 °C. The films have been characterized by using X-ray diffraction (XRD), Raman spectroscopy, Fourier transform infrared (FTIR) spectroscopy, dark conductivity (σD), activation energy (ΔE), optical band gap (Eg) and secondary ion mass spectroscopy. The XRD patterns show that RT grown film is amorphous in nature but high temperature (225 and 350 °C) deposited films exhibit nanocrystalline structure with (111) and (220) crystal orientations. The crystallite size of higher temperature grown silicon film evaluated was between 13 and 25 nm. Raman spectra reveal the amorphous nature of the film deposited at RT, whereas higher temperature deposited films show crystalline nature. The crystalline volume fraction of the silicon film deposited at higher temperatures (225 and 350 °C) was estimated to be 58 and 72%. With the increase of Ts, the bonding configuration changes from mono-hydride to di-hydride as revealed by the FTIR spectra. The values of σD, ΔE and Eg of silicon films deposited at different Ts were found to be in the range of 5.37×10−4–1.04 Ω−1 cm−1, 0.05–0.45 eV and 1.42–1.83 eV, respectively. Photoconduction of 3.5% has also been observed in n-type nc-Si:H films with the response and recovery times of 9 and 12 s, respectively. A n-type nc-Si:H/p-type c-Si heterojunction diode was fabricated which showed the diode quality factor between 1.6 and 1.8.  相似文献   

20.
Light-induced metastability was examined in hydrogenated amorphous silicon thin films using a 500 W xenon lamp and a 10 mW HeCd laser. Positron beam annihilation spectroscopy (PAS) and fourier transform infrared spectroscopy (FTIR) were examined to investigate the effects of light on the structural properties of the films. The experimental results exhibited significant decrease in the S-parameter of the PAS, indicating marked reduction in the defect density of the films. The FTIR spectroscopy showed significant reduction in the transmission coefficient of IR radiation at frequencies corresponding to Si–H and Si–H3 phonon modes, indicating that the observed annealing effects were due to light-induced formation of Si–H and Si–H3 bonds. A second thermal annealing process conducted after the light exposure experiment resulted in a further substantial decrease in defect density for the sample exposed to HeCd laser. The experimental results are explained by a competing, light induced, dangling bond creation/annealing process, in which the incoming photons caused the annealing of dangling bonds, particularly those at around the voids. However, in the bulk region, the photons caused both the breaking of weak Si–Si bonds as well as the annealing of dangling bonds.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号